电梯控制EDA设计电气论文_第1页
电梯控制EDA设计电气论文_第2页
电梯控制EDA设计电气论文_第3页
电梯控制EDA设计电气论文_第4页
电梯控制EDA设计电气论文_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、沈阳工程学院课程设计(论文)摘要电子设计自动化(EDA是一种实现电子系统或电子产品自动化设计的 技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领 域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发 展。EDA的一个重要特征是使用硬件描述语言(HDL来完成设计。超高速硬件描述语言(VHDL是经IEEE和美国国防部确认的标准硬件描述语言, 自IEEE公布了 VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了 自己的 VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VH

2、DL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容。本文介绍了基于 VHDL语言实现的电梯控制系统的设计,使用了状态机,并进行了 软件和实验平台的仿真。该控制系统遵循方向优先的原则,提供楼层用户的 载客服务并指示电梯的运行情况。说明了用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,仿真结果表明 VHDL语言应用于数字电路仿真是切实可行的,该语言在电子设计领域受到了广泛的 接受。关键词 EDA VHDL电梯控制,状态机AbstractElectronic design automation (EDA) is a realization of an

3、electronic system or electronic design automation products of technology, with electronic technology, microelectronic technology is closely related to the development, it has absorbed most of the field of computer science and the latest research results, as a high-performance computer Working platfo

4、rm to promote the development of the project. EDA is an important feature of the use of hardware description language (HDL) to complete the design. Super-high-speed hardware description language (VHDL) is the IEEE and the U.S. Department of Defense confirmed the standard hardware description languag

5、e, since the publication of the IEEE VHDL version of the standard, IEEE-1076, the EDA companies have launched their own VHDL design environment, or to be declared Their design tools and VHDL interface. Since then VHDL gradually replace the original non-standard hardware description language. 1993, I

6、EEE on VHDL was revised from a higher level of abstraction and system capacity expansion VHDL description of the contents. In this paper, based on VHDL language of the elevator control system design, the use of the state machine and a software platform for simulation and experiment. The control syst

7、em to follow the direction of the principle of giving priority to provide the passenger service users floors and directed the operation of the lift. Note the use of VHDL digital circuit design methods and VHDL in digital circuit design to the important role of simulation, simulation results show tha

8、t the VHDL language used in digital circuit simulation is practicable, the language in the field of electronic design has been widely accepted.Keywords EDA , VHDL , elevator control ,State Machine目录 TOC o 1-5 h z HYPERLINK l bookmark0 o Current Document 摘要Ill HYPERLINK l bookmark2 o Current Document

9、 AbstractIV第1章引言-1 - HYPERLINK l bookmark8 o Current Document 1.1课题的意义-1 - HYPERLINK l bookmark10 o Current Document 1.2 EDA 的应用-1 - HYPERLINK l bookmark12 o Current Document VHDL语言的发展 -2 - HYPERLINK l bookmark14 o Current Document VHDL语言程序的基本结构 -3 - HYPERLINK l bookmark16 o Current Document 1.3本课程设

10、计的基本要求 -4 - HYPERLINK l bookmark18 o Current Document 1.4本课程设计的目的 -4 - HYPERLINK l bookmark20 o Current Document 1.5 本课程设计的基本原理 -4 - HYPERLINK l bookmark22 o Current Document 第2章系统设计-6 - HYPERLINK l bookmark24 o Current Document 2.1课程设计的基本方案 -6 - HYPERLINK l bookmark26 o Current Document 2.2层次设计的方案

11、-6 - HYPERLINK l bookmark28 o Current Document 2.2.1 电梯控制器系统组成框图 -6 - HYPERLINK l bookmark30 o Current Document 2.2.2电梯控制器的状态转换图 -7 - HYPERLINK l bookmark32 o Current Document 2.3源程序设计-8 - HYPERLINK l bookmark34 o Current Document 2.4系统的仿真波形 -15 - HYPERLINK l bookmark36 o Current Document 2.5系统顶层元件图

12、 -16 - HYPERLINK l bookmark38 o Current Document 总结-18 - HYPERLINK l bookmark40 o Current Document 致谢-19 - HYPERLINK l bookmark42 o Current Document 参考文献-20 -沈阳工程学院课程设计(论文)第偉引言1.1课题的意义EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电 子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不 需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工 程师的青睐。实现电梯系统

13、的控制方法很多,可以用标准逻辑器件、可编程序控 制器PLG单片机等方案来实现。但是这些控制方法的功能修改及调试都需要 硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在 设计中采用EDA技术,应用目前广泛应用的 VHDL硬件电路描述语言,实现电 梯控制器的设计,利用 MAXPLUS集成开发环境进行综合、仿真,并下载到 CPLD可编程逻辑器件中,完成系统的控制作用。在现代社会中,各种高楼大厦鳞次栉比,住在高层的住户和工作人员的出 行就成为一个很显著的问题,本设计的主旨就是设计一套四层楼的电梯控制系 统。1.2 EDA的应用1)将广泛应用于高校电类专业的实践教学工作中各种数字集成

14、电路芯片,用 VHDL语言可以进行方便的描述,经过生成元 件后可作为一个标准元件进行调用。同时,借助于VHDL开发设计平台,可以进行系统的功能仿真和时序仿真,借助于实验开发系统可以进行硬件功能验证 等,因而可大大地简化数字电子技术的实验,并可根据学生的设计不受限制地 开展各种实验。对于电子技术课程设计,特别是数字系统性的课题,在EDA实验室不需添加任何新的东西,即可设计出各种比较复杂的数字系统,并且借助于实验开发 系统可以方便地进行硬件验证。自1997年全国第三届电子技术设计竞赛采用FPGA/CPLD器件以来,FPGA/CPLD已得到了越来越多选手的利用,并且给定的课题如果不借助于 FPGA/

15、CPLD器件可能根本无法实现。因此 EDA技术将成为各种电子技术设计竞 赛选手必须掌握的基本技能与制胜的法宝。将广泛应用于科研和新产品的开发中 由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,EDA技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件 进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上。 这些特点使其将广泛应用于科研工作和新产品的开发工作中。将广泛应用于专用集成电路的开发 可编程器件制造厂家可按照一定的规格以通用器件大量生产,用户可按通用器件从市场上选购,然后按自己的要求通过编程实现专用集成电路的功能。 因此,对于集成电路制造技术与世

16、界先进的集成电路制造技术尚有一定差距的 我国,开发具有自主知识产权的专用集成电路,已成为相关专业人员的重要任 务。将广泛应用于传统机电设备的升级换代和技术改造传统机电设备的电气控制系统,如果利用 EDA技术进行重新设计或进行技 术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小 产品体积,提高产品的技术含量,提高产品的附加值。1.2.1 VHDL 语言的发展VHDL诞生于1982年,1987年底被IEEE和美国国防部确认为标准硬件描 述语言。自IEEE公布了 VHDL的标准版本(IEEE-1076)之后,各EDA公司相继 推出了自己的VHDL设计环境,或宣布自己的设计工具可以

17、和 VHDLS口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标 准硬件描述语言。1993年,IEEE对VHDL行了修订,从更高的抽象层次和系 统描述能力上扩展VHDL的内容,公布了新版本的 VHDL即IEEE标准的1076- 1993版本。现在,VHDL和Verilog 作为IEEE的工业标准硬件描述语言,又得到众多 EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专 家认为,在新的世纪中,VHDL与Verilog语言将承担起几乎全部的数字系统设计任务。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具 有硬件特征的语句外,VHDL勺

18、语言形式和描述风格与句法十分类似于一般的计 算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以 是一个元件、一个电路模块或一个系统 )分成外部(或称可视部分,即端口 )和 内部(或称不可视部分),即设计实体的内部功能和算法完成部分。在对一个设 计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调 用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。122 VHDL语言程序的基本结构一个相对完整的VHDL程序(或称为设计实体)具有如图1-1所示的比较固 定的结构。通常包含实体(Entity)、结构体(Architecture) 、配置 (

19、Configuration)、程序包(Package)和库(Library)5 个部分。图1.1 VHDL语言的基本结构本课程设计的基本要求设计 4 层楼电梯控制器,该控制器满足以下要求: 每层电梯入口设有上下请求开关,电梯内设有乘客到达层次的停站请求开 关。设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。电 梯每秒升(降)一层楼。电梯到达有停站请求的楼层后,经1秒电梯门打开, 开门指示灯亮,开门 10 秒钟后,电梯门关闭(开门指示灯灭) ,电梯继续运 行,直至执行完最后一个请求信号后停在当前层。电梯运行规则:当电梯处在 上升模式时,只响应比电梯所处在位置高的上楼请求信号,由下而

20、上逐个执 行,直到最后一个上楼请求执行完毕,如更高层有下楼请求,则直接升到有下 楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时则与上升 模式相反。电梯初始状态是1层。到达各层有音乐提示。本课程设计的目的1)完成EDA程序设计课程的教学之后,通过 2周的设计进一步巩固所学 的知识,加深理解。2)指导和促使学生通过各种途径对 EDA和VHDL相关内容进行拓展和深 入,增强自学能力及对知识的融会贯通能力。3)提高动手能力、调试程序的能力、解决问题的能力和实际开发应用能力,掌握使用VHDL语言进行系统开发的一般步骤、方法和技巧。本课程设计的基本原理电梯主要是通过控制器来实现其功能。其基本

21、结构原理如图 1.5.1 。包括 主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客 在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显 示器显示电梯的运行状态,电梯所在的楼层数通过译码器译码从而在楼层 显示器中显示。分控制器把有效的请求传给主控的楼层数。由于分控制器相对 简单很多,所以主控制器是核心部分。图1.5.1电梯控制器原理框图第 2章 系统设计2.1 课程设计的基本方案VHDL( Very High Speed Integrated CircuitHardware Description Language)即超高速集成电路硬件描述语言,主要用于描述

22、数字系统的行为、 结构、功能和接口。是当今在电子工程领域中通用的硬件描述语言。本文采用 VHD语言来设计实现梯控制器,其代码具有良好的可读性和易理解性。电梯控制器有 9 个状态。而等待、上升和下降状态是对电梯乘客起主要作 用,因此我们必须弄明白等待、上升和下降这 3 个状态的运行及转化条件。在这次课程设计中,主要运用 EDA(Electronic DesignAutomation )技术 采用并行工程和“自顶向下”的设计方法,从系统设计入手,在顶层进行层次 划分和结构设计,在功能模块一级进行仿真、纠错,并用 VerilogHDL 等硬件 描述语言对高层次的系统行为进行描述,在系统一级进行验证,

23、最后用逻辑综 合优化工具生成门级逻辑电路的网表,其对应的物理实现可以是印刷电路板或 专用集成电路。自顶向下的设计方法,就是在整个设计流程中各设计环节逐步 求精的过程应用VHDL运行自顶向下的设计,就是使用 VHDL莫型在所有综合级 别上对硬件进行说明、建模和仿真测试。当程序完成以后,通过用 MAX+plusU软件对程序进行检错、纠错,直到程 序无误后,对程序进行仿真测试。2.2 层次设计的方案2.2.1 电梯控制器系统组成框图电梯控制器系统组成框图如图 2.1 所示:图2.1电梯控制器系统组成框图注:该方案设计电梯包括有超载报警和自我故障清除功能,通过各楼层的请求信号输入控制器中控制电梯升降。

24、该电梯另外设计了楼层显示和请求信号显示。222电梯控制器的状态转换图电梯控制器中等待、上升和下降的状态转换图如2.2所示:图22 电梯控制器的状态转换图注:该状态图显示了电梯在不同楼层时接受不同请求信号时的转换过程,通过控制 器内部的逻辑运算进而达到准确的运行结果。2.3源程序设计电梯控制器源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;use ieee.std _lo gic_arith.all;en tity dia ntiO isport ( clk : in std_lo

25、gic;-2Hz)full,de ng,quick,clr : in std_logic TOC o 1-5 h z c_u1,c_u2,c_u3: in std_logic;-c_d2,c_d3,c_d4: in std_logic;-d1,d2,d3,d4: in std_logic;-g1,g2,g3,g4: in std_logic;-door : out stdogic_vector(1 downto 0);- led : out stdo gic_vector(6 dow nto 0);-led_c_u:out stdo gic_vector(3 dow nto 0);-led_c

26、_d:out stdo gic_vector(3 dow nto 0);-led_d : out stdo gic_vector(3 dow nto 0);- TOC o 1-5 h z wahaha : out std_logic;-ud,alarm : out std_logic;-up,dow n : out std_logic );-end dia nti0;architecture behav of dia nti0 issignal d11,d22,d33,d44:stdogic;时钟信号(频率为超载、关门中断、 提前关门清除报警 信号电梯外人的上升请 求信号电梯外人的下降请 求信

27、号电梯内人的请求信 号到达楼层信号电梯门控制信号 电梯所在楼层显示 电梯外人上升请求 信号显示电梯外人下降请求 信号显示电梯内请求信号显 示看门狗报警信号电梯运动方向显 示,超载警告信号 电机控制信号和电 梯运动电梯内人请求信号 寄存信号电梯外人上升请求sig nal c_u11,c_u22,c_u33,c_u44:stdogic;信号寄存信号signal c_d22,c_d33,c_d44:std_logic; -电梯外人下降请求信号寄存信号signal q:integer range 0 to 1;-signal q1:integer range 0 to 6; -signal q2:in

28、teger range 0 to 9; -signal dd,cc_u,cc_d,dd_cc:std_logic_vector(3 downto 0);分频信号 关门延时计数器 看门狗计数器电梯内外请求 信号寄存器signal opendoor:std_logic;-signal updown:std_logic;-开门使能信号 电梯运动方向信号 寄存器signal en_up,en_dw:std_logic; -预备上升、预备下 降预操作使能信号begin com:process(clk) beginif clkevent and clk=1 thenif clr=1 then q1=0;q

29、2=0;wahaha=0;-elsif full=1 then alarm=1; q1=3 then door=10; -else door=00;end if;elsif q=1 then q=0;alarm=0;if q2=3 then wahaha=1; -else清除故障报警超载报警故障报警if opendoor=1 thendoor=10;q1=0;q2=0;up=0;down=0; -elsif en_up=1 then开门操作- 上升预操作if deng=1 then door=10;q1=0;q2=q2+1;- 关门中断elsif quick=1 then q1=3;- 提前关

30、门elsif q1= 6 then door=00;updown=1;up=3 then door=01;q1=q1+1;-else q1=q1+1;door=00;-end if;elsif en _dw=1 the n关门完毕,电梯进入上升 状态电梯进入关门状态电梯进入等待状态-下降预操作if den g=1 then door=10;q1=0;q2=q2+1;elsif quick=1 then q1=3;elsif q1= 6 then door=00;updow n=0;dow n=3 then door=01;q1=q1+1;else q1=q1+1;door=00;end if;

31、end if;if g1=1 then led=1001111;-if di仁1 or c_u11=1 then d11=0; c_u11=0;ope ndoor000001 then en_up=1; opendoor=0;elsif dd_cc=0000 then ope ndoor=0;end if;elsif g2=1 then led=0010010;-电梯到达1楼,数码管显示1-有当前层的请 求,则电梯进入 开门状态-有上升请求,则 电梯进入预备上 升状态-无请求时,电梯 停在1楼待机电梯到达2楼, 数码管显示2 电梯前一运动状if updow n=1 the n-态位上升if d

32、22=1 or c_u22=1 then d22=0; c_u22=0;opendoor0011 then en_up=1; opendoor=0;有上升请求,则电 梯进入预备上升状 态elsif dd_cc0010 then en_dw=1; opendoor=0;- 有下降请求,则电 梯进入预备下降状 态end if;电梯前一运动状态为下降elsif d22=1 or c_d22=1 then d22=0; c_d22=0;opendoor=1;有当前层的请求, 则电梯进入开门状 态elsif dd_cc0010 then en_dw=1; opendoor0011 then en_up=

33、1; opendoor=0;有上升请求,则电 梯进入预备上升状 态end if;elsif g3=1 then led=0000110; -电梯到达 3 楼,数码管显示 3if updow n=1 the nif d33=1 or c_u33=1 then d33=0; c_u33=0;ope ndoor0111 then en_up=1; ope ndoor=0; elsif dd_cc0100 then en_dw=1; ope ndoor=0; end if;elsif d33=1 or c_d33=1 then d33=0; c_d33=0; ope ndoor=1;elsif dd_

34、cc0100 then en_dw=1; ope ndoor0111 then en_up=1; ope ndoor=0; end if;elsif g4=1 then led=1001100;-if updow n=1 the nif d44=1 or c_u44=1 then d44=0; c_u44=0; ope ndoor1111 then en_up=1; ope ndoor=0; elsif dd_cc1000 then en_dw=1; ope ndoor=0; end if;elsif d44=1 or c_d44=1 then d44=0; c_d44=0; ope ndoo

35、r=1;elsif dd_cc1000 then en_dw=1; ope ndoor1111 then en_up=1; ope ndoor=0; end if; TOC o 1-5 h z else en _up=0;e n_dw二0;-end if;end if;else q=1;alarm=0;-电梯到达4楼,数码管显示4电梯进入上升或下 降状态清除超载报警 对电梯内人请求信 号进行检测和寄存if d1=1 then d11=d1;-elsif d2=1 then d22=d2; elsif d3=1 then d33=d3; elsif d4=1 then d44=d4;end if

36、;if c_u1=1 then c_u11=c_u1; -对电梯外人上升请求 信号进行检测和寄存elsif c_u2=1 then c_u22=c_u2;elsif c_u3=1 then c_u33=c_u3;end if;if c_d2=1 then c_d22=c_d2; -对电梯外人下降请求 信号进行检测和寄存elsif c_d3=1 then c_d33=c_d3;elsif c_d4=1 then c_d44=c_d4;end if;dd=d44&d33&d22&d11; -电梯内人请求信号并置cc_u=0&c_u33&c_u22&c_u11; -电梯外人上升请求信号并置cc_d=c_d44&c_d33&c_d22&0; -电梯外人下降请求信号并置dd_cc=dd or cc_u or cc_d;-电梯内、外人请求信号进行综合end if;ud=updown; - led_d=dd; -电梯运动状态显示 电梯内人请求信号显 示led_c_u=cc_u; -电梯外人上升请求信号显示led_c_dDB J.AIl AR t1口生UPD3DOWND骂G1GZG3GADOORl_E D - 0UULE D_C_Dl-E D_DWAHHHAUDAIL HUI IUPDOUN图2.5系统顶层元件图图中Clk为时钟信号、Full

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论