七人表决器实验报告_第1页
七人表决器实验报告_第2页
七人表决器实验报告_第3页
七人表决器实验报告_第4页
七人表决器实验报告_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、七人表决器实验报告篇一:哈工大电工学新技术实践实验报告-7 人表决器总成绩:一、设计任务1、有七人参与表决,显示赞同者个数。2 当赞同者达到及超过4 人时,绿灯显示表示通过。二、设计条件本设计基于软件Multisim10.0.1进行仿真,在电机楼实验室XX5进行验证。三、设计要求1、熟悉74LS161, 74LS151,数码管的工作原理。2、设计相应的电路图,标注元件参数,并进行仿真验证。四、设计内容电路原理图(含管脚接线) 电路原理图如图 1 所示图 1 电路原理图计算与仿真分析仿真结果如图 2、 3、 4 所示图 2 仿真结果图 4 仿真结果调试流程图 5 调试流程设计和使用说明74LS1

2、51 芯片为互补输出的 8 选 1 数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为CA, 按二进制译码,从 8个输入数据 D0D7中,选择一个需要 的数据送到输由端 Y, G为使能端,低电平有效。(1)使能端G= 1时,不论CA状态如何,均无输由 (丫= 0, W 1),多路开关被禁止。(2)使能端G= 0时,多路开关正常工作,根据地址码C、B、A的状态选择D0D7中莫一个通道的数据输送到输由 端丫。如:CBA= 000,则选择D0数据到输由端,即Y= D0o 如:CBA= 001,则选择D1数据到输由端,即Y= D1,其余类 推。图 6 74LS151 引脚排列表 1 7

3、4LS151 功能表74LS161 功能:异步置“ 0 ”功能:接好电源和地,将清除端接低电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3Q0i匀为0。( 2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3D0置0011,在CP的上升沿作用后,测试输由端 Q3Q0的电平。如果操作准确,D3D0的数据为0011,说明D3D0的数据已预置至U Q3Q0o(3)计数和进位功能:将 LD、Cr、CET CEP端均接高电平, CLK 端输入单脉冲,记录输出端状态。如果操作准确,每输入一个CP脉冲,计数器就进行一篇二:实验一、七人表决器河北科技大学实验报告12 级 电

4、信专业 123 班学号 Z120701306 15 年 5 月 20日 姓 名张娟 同组人 指导教师于国庆 实验名称实验一 七人表决器成绩 实验类型 设计型 批阅教师一、实验目的( 1)掌握 MUXPLUS II 语言输入的设计过程。( 2)初步了解VHD用言。(3)熟悉FPG颂目设计的基本流程。二、实验原理:用七个开关作为表决器的 7 个输入变量,输入变量为逻辑“ 1”时表示表决者“赞同” ; 输入变量为逻辑“ 0 ”时表示表决者“不赞同” ;输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中有 4 个及以上为“ 1”时,则表决器输出为“ 1”

5、 ,否则为“ 0” 。表决器输入采用试验箱K1K16,输由采用试验箱L14、L16 指示;同意绿灯亮,否则红灯亮。三、实验内容及步骤1打开 MUXPLUS II VHDL 编辑器,完成七人表决器的设计。包括VHDL程序输入、编译、综合。实验程序如下:library ieee;use ieee.std_logic_1164.all;useieee.std_logic_unsigned.all; entity vote7 isport (men :in std_logic_vector(6 downto 0);pass,stop : buffer std_logic);end vote7;arch

6、itecture behave of vote7 is begin stopvariable temp:std_logic_vector(2 downto 0); begintemp:=000; for i in 0 to 6 loopif(men(i)=1)thentemp:=temp+1;elsetemp:=temp+0;end if;end loop; pass2、建立仿真波形文件,使用 MAXPLUS II Simulator 功能进行功能仿真。 仿真结果如下:3、 目标器件选择与管脚锁定并重新编译、 综合、 适配。FPGA 号:EP1K100QC208-3 弓 I 脚绑定:4、下载并

7、验证结果将编译好的程序进行引脚锁定及硬件配置后便可下载到目标芯片中, 我们将程序下载到芯片中后结果输出灯stop亮pass灭,当我们按下 men0到men6任意四个或四个以上 按键时 stop 灭 pass 亮, 实验结果符合预期效果, 结果正确。四、实验结果与总结我们这次EDA实验课设计了 一个双LED灯输出七人表决器,实验结果符合预期效果即任意按下四个或四个以上按键表示同意,同意绿灯亮反对红灯亮。通过这次实验我们加深了对EDA这门课程的理解,学会了如何使用MAXPLUSII进行VHDL言编程以及将程序下载到芯片上,增加了对学习VHDL语言的兴趣,增强了实践动手能力,学到了许多课本上没有的知

8、识。篇三:七人表决器课程设计报告课程设计课程名称 硬件描述语言与 ED破术 题目名称 硬件 描述语言与ED破术实践学生学院材料与能源 专业班级 11 微电子学 (1) 班 学 号 学生姓名 指导教师XX年6月27日广东工业大学课程设计任务书题目名称 学生学院 专业班级 姓 名 学 号硬件描述语言与ED破术实践材料与能源学院 11 微电子学 (1) 班一、课程设计的内容与要求1. 系统功能分析,分模块层次化设计; 2. 实现系统功能的方案设计;3.编写各功能模块 VHDL言程序;. 对各功能模块进行编译、综合、仿真和验证;.顶层文件设计,可用 VHDLf言设计,也可以用原理图设计; 6. 整个系

9、统进行编译、综合、仿真和验证;.在CPLD/FPG彼验开发系统试验箱上进行硬件验证;8. 按所布置的题目要求,每一位学生独立完成全过程。二、课程设计应完成的工作所要求设计内容的全部工作; 2. 按设计指导书要求提交一份报告书;提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书三、课程设计进程安排四、应收集的资料及主要参考文献.陈先朝,硬件描述语言与EDA技术实践指导书,XX年3月.曹听燕等编著,ED破术实验与课程设计,清华大学出版社, XX 年 5月.刘欲晓等编著,EDAK术与VHDL电路开发应用实践,电子工业出版社,XX 年 4 月.刘昌华等编著,数字逻辑EDA设计与实践:MAX+

10、plusn 与 Quartus n 双剑合璧,国防工业出版社, XX 年.刘江海主编,EDA技术课程设计,华中科技大学生版社, XX 年 1 月发出任务书日期: XX 年 6 月 23 日 指导教师签名:计划完成日期: XX 年 6 月 27 日 基层教学单位责任人签章:主管院长签章:七人表决器设计目的学习和掌握Quartus II 软件的基本操作;通过设计七人表决器,掌握基于可编程器件的 VHDL硬件描述语言的设计方法;(3)学习用CPLD/FPGA实践系统硬件验证电路设计的正确性设计题目及要求题目:表决器要求:设计一个七人表决器,当赞成人数大于等于四时显示表决通过,同时分别将投票中赞成的人

11、数和反对的人数在数码管上显示出来。设计方案:表决器的功能是将所投票者的结果综合起来,超过半数赞成则表示结果通过,反之则不通过。而七人表决器由七个人来投票,当赞成的票数大于或者等于 4 人,则认为通过;当反对的票数大于或者等于 4 人时,则认为不通过。所以这次设计中我将用 7 个数据开关来表示七个人,当对应的拨挡开关输入为 1 时,表示此人同意;否则若拨挡开关输入为0时,则表示此人反对。表决的结果用一个 LED表示,若表决的结果为同意,则 LED 被点亮, ;否则,如果表决的结果为反对,则LED不会被点亮。同时用七段显示数码管来显示赞成的人数和反对的人数。实验箱使用说明本次使用的实验箱为KHI3型CPLD/FPG颂践开发系统所用芯片:ACEX1惊歹U的EP1K30QC208-3弓I

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论