单片机原理及嵌入式系统_第1页
单片机原理及嵌入式系统_第2页
单片机原理及嵌入式系统_第3页
单片机原理及嵌入式系统_第4页
单片机原理及嵌入式系统_第5页
已阅读5页,还剩162页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第13章 嵌入式系统(xtng)C教程实战篇13.1引言(ynyn)13.2嵌入式智能报警系统概要13.3嵌入式智能报警主机硬件详细设计13.4 嵌入式报警主机软件设计13.5 嵌入式报警主机技术改进展望共一百六十七页 在智能化系统中,与居民日常生活安全问题最紧密相关的是智能报警系统,它是以保障安全为目的建立起来的技术防范系统。它包括以现代物理和电子技术及时发现侵入破坏行为、产生声光报警阻吓犯罪、实录事发现场图像和声音提供破案凭证、以及提醒值班人员采取恰当的防范措施。智能报警系统以计算机技术、通信技术和网络技术为基础,利用家庭内部的电话线、宽带网络等设施,将报警处理机与外部电话网、互联网相连,

2、从而达到具有一定智能,可以网络化管理,可实现防盗、监测(jin c)、报警等强大功能的报警系统。 共一百六十七页 根据所要完成功能的复杂程度以及要求达到的智能化水平,安全防范系统的配置和组成会有较大的差异。最简单的方法是将各子系统机械的组合,但效果不一定理想,比较好的方法是进行有针对性的有机组合,比如(br)以网络为连接纽带的智能化组合。另外,系统能够通过PC机、服务器、工作站接入互联网,从而可在网络上遥控或远程观看电视监控图像,已成为衡量安全防范系统档次的重要标准。 共一百六十七页 本章分析了现有报警系统中存在的不足,设计了一种改进的报警系统,该系统分为用户端和报警中心两部分;报警系统由报警

3、中心、用户端报警系统通过公用电话网组网而成。报警中心和用户端报警主机(zhj)都通过电话线接入报警网络。本系统的报警中心设置一台中心接警主机(zhj)和一台个人微机,中心接警主机(zhj)通过串行总线与微机相连。 共一百六十七页 本章主要(zhyo)介绍用户端报警系统中报警主机的详细设计方式,对中心接警主机的设计和整个报警中心系统的工作状态仅做概要介绍。本系统设计的用户端报警主机硬件包含10个子模块,它们由 AT89C52单片机统一调度管理。单片机控制程序以C语言编写,以轮询方式检测单片机各口状态。该报警主机在抗干扰方面采取了多种措施,具有较好的稳定性。 共一百六十七页 最后,本章对报警系统的

4、智能化发展做了某些设想。比如引入专家系统,令系统内部含有大量的报警领域专家水平的知识(zh shi)与经验,能够以人类专家的水平完成特别困难的某一专业领域的任务,因而增强系统的智能。 共一百六十七页 随着计算机普及和信息产业的发展,人们对居住环境要求的不断提高,也将“智能化”引入了住宅小区和家庭建设中。小区的智能化最终体现在小区独立家庭运用多元信息技术(IT),并达到监控与信息交互的能力。因此实现家庭智能化是实现小区智能化的基础和最终建设目标。家庭智能化系统所提供的功能有以下三项内容,即:家庭安全防护系统、家庭电器(dinq)自动化和家庭通信与网络。 13.1 引言(ynyn)共一百六十七页

5、家庭安全防护系统,它是一门综合性的学科,闭路电视监控子系统、防盗防侵入探测报警子系统和门禁控制(kngzh)子系统是安全防护系统基本和通用的三大组成部分。从应用领域而言,构成区域性安全防护系统的基础首先是家庭报警系统。传统的机械式(如防盗网、防盗窗、防盗门)家居防护系统,在实际使用中暴露出一些隐患。例如火灾逃生受到影响;熟人入室作案不易发觉;影响楼体的美观、市容的整洁;为犯罪分子提供了便利的翻越条件。共一百六十七页 智能监测与报警系统的基础是报警控制器和各类探测器,报警器与各探测器的联络可以采用有线或者无线联接方式,在这个系统中,报警器居于核心的位置。探测器有被动式红外、对射式红外、门磁、烟感

6、、气感,此外还有作控制用的遥控器和紧急报警用的紧急按钮。用户根据需要可以增、减相应的探测器。当有警情发生时,报警器可以通过有线、无线方式按照一定的顺序将报警信息自动转到用户指定的管理中心、物业(w y)中心和报警电话上,以达到能及时掌握警情、处理警情的目的。 共一百六十七页目前国内外流行的报警系统按信息传输方式一般分成三种类型:1、有线网络传输通过铺设专用线路网络来构成报警信息的传输。它的优点是:系统专用化,信息传输不受外界因素的干扰,通信速度快、容量大,适合大容量小区使用;费用低,由于小区采用自己(zj)的通信线路,报警通信是不需要费用的。它的缺点是:工程施工要求高,对于线路铺设、总线隔离有

7、较高的技术要求;没有语音通信功能;只适合联网使用。共一百六十七页2、无线网络传输用电波发射的办法来传输信息,具有较强的抗破坏性,传输速度快,准确率高的优点,但其适应区域受发射机的功率限制,若采用接力式发射,则一旦某一接收/发射机出故障,会影响到其它用户(yngh),同时受雷、电等气象因素的影响较大。 共一百六十七页3、公共(gnggng)网络传输利用现有的公共网络进行信息传输,比如电话网有其十分优越的条件:(1)电话的普及率较高,不必再建专用传输网,设备投入成本低;(2)可双向联络;(3)可多址报警;(4)可遥控撤、布防;(5)对现场有监听功能; (6)可组网使用也可单独使用。家庭中的报警主机

8、与管理中心之间通过普通电话线路进行联网。适合老小区改造;缺陷是传输速度相对无线方式略慢,容量小,报警速度慢。要产生通信费用,由于采用电话线路通信,每次报警都要付若干电话费。共一百六十七页13.2嵌入式智能报警系统概要(giyo)13.2.1安全防护系统的综合性功能安全(nqun)防范系统的应用可大可小,有着如表131所示的层次结构:表131 安全防范系统层次个体防范型单项局部范围用安全防范系统局部防范型多项局部范围用安全防范系统大楼综合型以大楼为对象的综合性安全防范系统社区网络型服务社区的局域性安全防范网络城市管理型以城市为目标的综合监控管理系统共一百六十七页 对于大型的具有智能的安全防范系统

9、,由于设备众多和功能繁杂,为了能够进行(jnxng)有效的管理,必须周密组织,形成以中央监控室内的计算机系统为核心的综合性安全防范系统,功能框图如图131所示,其可能实现的功能包括下列四大类。 共一百六十七页共一百六十七页1.图像监控功能(1) 视像监控 采用各类摄像机、切换控制主机、多屏幕显示、模拟或数字记录装置、照明装置,对内部与外界进行有效的监控,监控部位包括要害部门、重要设施和公共活动场所。(2) 影像验证 在出现报警时,显示器上显示出报警现场的实况,以便直观地确认报警,并做出有效的报警处理。图像识别系统 在读卡机读卡或以人体生物特征作凭证识别时,可调出所存储的员工相片加以确认,并通过

10、(tnggu)图像扫描比对鉴定来访者。 共一百六十七页2.探测报警功能(1) 内部防卫探测 所配置的传感器包括双鉴移动探测器、被动红外探测器、玻璃破碎探测器、声音探测器、光线回路、门接触点及门锁状态指示(zhsh)等。(2) 周界防卫探测 精选拾音电缆、光纤、惯性传感器、地下电缆、电容型感应器、微波和主动红外探测器等探测技术。(3) 危机情况监控 工作人员可通过按动紧急报警按钮或在读卡机输入特定的序列密码发出警报。通过内部通信系统和闭路电视系统的联动控制。共一百六十七页3.控制功能(1) 对于图像系统的控制,最主要的是图像切换显示控制和操作控制。(2) 识别控制,如门禁控制,车辆出入控制,专用

11、电梯出入控制。相应报警的联动控制,这种联动逻辑控制,可设定在发生紧急事故时关闭(gunb)保管库、控制室、主门及通道等关键出入口,提供完备的保安控制功能。 共一百六十七页4.自动化辅助功能(1)内部(nib)通信系统提供中央控制室与员工之间的通信功能。(2)双向无线通信为中央控制室与动态情况下的员工提供灵活而实用的通信功能。(3)有线广播提供在一定区域内灵活地播放音乐、传送指令、广播紧急信息用。(4)电话拨打提供向外界传送信息的功能。(5) 巡更管理系统与闭路电视系统结合在一起,检查巡更员是否巡更到位,以确保安全。(6) 综合保安管理系统与楼宇管理系统和办公室自动化管理系统联网,可提供进出门、

12、灯光和登记调度的综合控制。共一百六十七页13.2.2安全防护系统组建(z jin)方式 不同的智能化水平,安全防范系统的组成会有较大的差异。好的方法是进行有针对性的有机组合,特别是以网络为连接纽带的智能化组合。系统具有“网络化监控、监控网络化”功能,能够通过PC机、服务器、工作站接入互联网,从而可在网络上遥控或远程观看电视监控图像。居住社区构成区域性安全防范系统的基础首先(shuxin)是家庭防盗系统,从体系结构来分析,防盗系统可以有简单系统和综合性智能网络系统之分。首先(shuxin)看图13-2所示简单的家庭防盗系统。 共一百六十七页共一百六十七页 它可监视各种入侵及门窗环境,其操作方式可

13、以是有设防、撤防、紧急(jnj)按钮等功能键的红外/无线遥控器,也可以从键盘输入密码进行操作。在设防状态下,当探测到入侵或触发报警后,会根据预先存入的电话号码自动拨打电话,并在家中就地产生强力警号以阻吓入侵。在上述系统的基础上,融入智能和网络的概念,可以构造出家庭嵌入式智能报警监控系统,如图133所示。 共一百六十七页共一百六十七页 本章设计的嵌入式智能报警监控(jin kn)系统即以图13-4区域性智能报警监控系统的基本构成为目标。该系统分为用户端和报警中心两部分;报警系统由报警中心、用户端报警系统通过公用电话网、宽带网组网而成。报警中心和用户端报警主机都通过电话线和宽带网接入报警网络。 共

14、一百六十七页共一百六十七页报警中心系统报警中心是报警和紧急求助信号接收与鉴别中心。报警中心用于监控用户端报警主机工作状态,接收处理报警信息,复核报警信息,控制用户端报警主机动作,同时完成用户数据库查询,电子地图显示、打印、值班员现场监听/对讲/数字录音(l yn)等处理工作,并与其它安防系统形成联动。收到紧急信号后,值班人员先识别该信号是真实还是虚假的,如:判别对方的口令是否正确、监听有无回答声音、查看电视监控图像、对讲等,如果信号属实则立刻通知有关对策部门。同时将从中心数据库中调出的求助者地址、病史等资料一并传送出去。共一百六十七页报警监控系统用户端报警系统用户端报警系统主要由报警主机、红外

15、感应探头、煤气泄露(xilu)探测器、烟雾探测器、门磁感应探测器、紧急按钮、警号、遥控器以及可以联动控制的电视摄录设备,出入口控制设备等组成。用户端室内报警主机通过公用电话线路和宽带网与小区物业的报警中心联网,监测是否有非法入侵居民住宅、紧急呼救、火灾等事件,并自动向报警中心、用户电话、用户指定接警微机等报警。 共一百六十七页报警信号源(1) 侵入报警 其工作模式均是由传感器监视门、窗和室内,如传感器被触发而启动,则产生报警信号。入侵探测器的种类很多。被动红外探测器探测入侵者在监视区域中移动产生的热变化。红外线对照式探测器由一个红外线发射器与一个接收器以相对方式布置组成。当有人横跨(hn ku

16、)过门窗或其它监视区域时,遮断不可见的红外线光束而引发报警。侦光式行动侦测器能够检测出周围光线的微量变化。接近式探测器所探测的距离通常在几十厘米以内。该种探测器大多用于检测门把手是否被人触动,保险柜是否被移动等。超声波物体移动探测器利用多普勒效应工作。微波探测器利用超高频无线电波的多普勒频移原理来探测移动物体。玻璃破碎声传感器只对10KHz-15KHz高频的玻璃破碎声音进行有效的检测。共一百六十七页(2) 遭威逼或抢劫时的紧急报警 如果在入侵探测器处于撤防状态或遭破坏等情况下,住户家庭内发生遭抢劫或遭威逼等突发事件,可利用紧急报警按钮向报警中心站报警,中心站收到此类报警信号后将接通相应闭路电视

17、监控、红外视频以及声音监听设备,通过住户处摄像机发送之图像及其他信息,迅速对其所受危害状况进行记录(jl),并根据判断及时向公安部门紧急报警,请求出击。 共一百六十七页(3) 火灾报警 使用热敏探头、烟感探头、灭火器、警笛、闪光灯等全套设备,一旦发生火灾,报警中心站将通知消防管理局出动车辆灭火。(4) 温度、煤气(miq)和水浸报警 室内温度的改变会严重危及冷库等货仓物品的保存,因此某些场合需要密切监视温度的升降过程,此外对于室内水位高低(发生水浸)及煤气泄漏等紧急情况亦需立即通知报警中心站,并转往工程抢修部门。 共一百六十七页(5) 医疗救护报警 可随身携带,一旦患者自我感觉出现异常可立即将

18、患者信号传送到报警中心站,报警中心站将通知急救中心前往救护和处理。 (6) 闭路电视摄录和出入口控制 对于珠宝商店、银行、大型(dxng)货仓,安装24小时摄像的视频监控和长时间录像是非常适用的;而对于需要严格控制人员出入的区域可采用磁卡号码系统、乱序键盘、掌纹识别机、视网膜识别机、指纹识别机、声音识别机等装置。共一百六十七页报警主机报警主机是用户端报警系统的核心,它具有(jyu)如下功能:1防盗;2防火;3防燃气中毒;4紧急求助和防劫持求救;自动语音报警; 共一百六十七页6剪线报警;7报警优先;8可通过电话设置布防、撤防、现场监听、对讲等功能,实现远程异地遥控;9无线遥控、异地电话按键、报警

19、中心3种方式控制工作状态(zhungti);10无论布、撤防状态均不影响电话机使用,触警时自动切断,优先报警;11异地电话操作必须进行4位密码校验,保证了用户操作的安全性;12可选后备电池,在停电时可以继续工作数小时。 共一百六十七页13.2.3报警(bo jng)中心系统 区域性智能报警监控系统的中心值班站即报警中心系统应设置一台中心接警主机,该主机通过RS232串行总线与微机相连,和作为上位机的微机一起,监控用户端报警主机工作状态,接收处理报警信息,复核(fh)报警信息,控制用户端报警主机动作,同时完成用户数据库查询,电子地图显示、打印、值班员现场监听/对讲/数字录音等处理工作。 共一百六

20、十七页中心接警主机中心接警主机通过电话线接收(jishu)用户端报警主机发送的报警信号,做初步处理后,通过RS232接口送到上位机处理;上位机向用户端报警主机发送的指令也通过RS232接口先发送至中心接警主机,再由它通过电话线发送至用户端报警主机。中心接警主机作为功能强大的上位机和分布运行的用户端报警主机的联系桥梁,主要起信息存储转发的功能,同时对某些信息做必要的显示。 共一百六十七页上位机上位机具备以下功能:(1)以声光方式显示报警,可以指令控制用户端报警主机解除报警根据实际情况绘制电子地图,录入用户端报警主机的相应资料。能够(nnggu)在绘制的电子地图上以颜色显示各用户端报警主机状态和各

21、防区内容,以及用户地址与电话信息和报警发生后的处置对策。 共一百六十七页(2)可以通过中心接警主机对用户端报警主机进行控制,进行功能和状态检测。(3)遇有警报时,其报警信号可以经由电话线路、宽带网或人工方式向相关部门转发报告。(4)可以程序设置报警联动动作,即遇有报警时,用户端报警主机的编程输出端可通过继电器执行相应动作。具有报警图像资料库,要求装备(zhungbi)有摄像机联动系统。 共一百六十七页13.2.4报警系统(xtng)的误报问题 任何探测器都有其特点、适用范围和局限性,如选用(xunyng)不当会引起误报警。 双技术报警器可减少误报警,即只有当两种不同原理的探测器同时探测到目标时

22、,方才产生报警信号。由双重技术组合的空间探测器称为双鉴器,目前有被动红外与微波双鉴探测器、被动红外与超声波双鉴探测器、玻璃破碎声与振动双鉴探测器等。 探测器采用微处理器来实现智能化,并在报警中心站增强对报警信号的计算机鉴别和处理能力,同时辅以必要的人机交互或人机干预,也可减少误报。共一百六十七页13.3嵌入式智能报警主机硬件详细(xingx)设计 本系统设计的嵌入式报警主机(zhj)包含以下10个子模块:电源子模块、数码管显示驱动子模块、语音录制存储子模块、数据存储子模块、双音多频信号接收发送子模块、无线遥控发射/接收子模块、警号驱动子模块、报警接入子模块、电话信号发送/接收子模块、AT89C

23、52单片机主控制子模块;同时包含过压保护、限流保护、光耦隔离保护等一些辅助电路。9个功能性子模块由单片机主控制子模块统一控制其工作时序关系和状态变化。如图13-5所示。 共一百六十七页共一百六十七页 信号的传输只在8个功能型子模块和AT89C52单片机主控制子模块之间发生,8个功能型子模块之间是没有信号交互的,所有要交互的信号都在AT89C52单片机中汇总(huzng)、处理、存储、转发。图中箭头所指即信号的流向。比如双音多频信号收发子模块和AT89C52之间是双向箭头,就表示它们之间是双向数据传输;数码管显示子模块和AT89C52之间是单向箭头,且箭头指向数码管显示子模块,因此表示数码管显示

24、子模块只接收AT89C52发来的控制信号,不向AT89C52发送信号。电源子模块提供电源支持。 共一百六十七页13.3.1AT89C52单片机主控制子模块(m kui) 本机采用AT89C52单片机作为主控CPU芯片,它是一种低功耗高性能的8位单片机,内部有3个16位定时/计数器、一个串行口、8K Flash可编程可擦除存储器和256个字节的RAM及两个外部中断源,32个I/O口线;它采用了CMOS工艺和高密度非易失性存储器技术,其输出引脚和指令系统都与MSC-51兼容。它功能密集,开发设备成熟,比较适合本机子模块(m kui)多,处理任务繁复的特点。 共一百六十七页 本单片机只使用内部程序存

25、储器,不需外部寻址,P0、P1、P2、P3各口均用作I/O口。P1、P3口的结构可以用作双向I/O接口。P0、P2口做双向I/O口用时需要外接上拉电阻,本机在P0、P2口挂了10K的外接排电阻。本单片机没有外接RAM,程序运行时只使用内部的256字节RAM。为了存储用户数据(shj),使用了1片EEPROM数据(shj)存储器24C02。24C02是IC总线产品,89C52没有专门的IC总线接口,故使用2根I/O口线在程序中仿IC总线协议实现单片机与24C02之间的通信。 共一百六十七页时钟(shzhng)系统 89C52单片机片内含有一个(y )构成时钟振荡器的高增益反相放大器,引脚 XTA

26、L1、XTAL2(即18脚、19脚)分别是此放大器的输入端和输出端,这个放大器外接作为反馈元件的晶体后便成为自激振荡器,如图136所示。 共一百六十七页共一百六十七页 晶体呈感性,与2个电容构成并联谐振电路。振荡器的振荡频率主要取决于晶体;电容有微调作用,电容值的大小可以影响振荡器频率的高低、振荡器的稳定性、起振的快速性,当选用480K晶体时,选用(xunyng)120pF这个值是一般理论值。在设计印刷电路板时,电容、晶体的位置都尽量靠近单片机,主要为了防止走线太长寄生电感增加影响谐振频率。为了提高温度稳定性,本机采用温度稳定性好的零温度系数电容。共一百六十七页 振荡器输出的振荡脉冲经2分频成

27、为内部时钟信号,用作单片机内部各功能部件按序协调工作的控制信号,其周期称为时钟周期。6个时钟周期构成1个机器周期。CPU执行一条指令(zhlng)的时间称为指令(zhlng)周期。指令(zhlng)周期以机器周期为单位。一条单周期指令(zhlng)执行的时间就是一个机器周期。89C52单片机除乘法指令、除法指令是4周期指令外,其余都是单周期指令和双周期指令。本机使用480K晶振,故可以算出单指令执行时间为: 共一百六十七页 时钟电路产生CPU的工作时序脉冲,是CPU正常工作的关键。时钟信号不仅是对噪声干扰最敏感的部位,也是单片机系统的主要噪声源。单片机的时钟信号为频率很高的方波,方波是由与其频

28、率相同(xin tn)的正弦基波和其倍频正弦波叠加而成。频率越高,越容易发射出去成为噪声源。此外,时钟频率越高,信息传输线上信息变换频率也越高,致使线间串扰、反射干扰以及公共阻抗干扰加剧。本机选用了较低的时钟频率,这对降低系统的电磁发射,提高系统的抗干扰性能很有利。 共一百六十七页为了保证时钟电路受到最小的干扰,本机在设计电路板时采取了以下措施:(1)时钟脉冲电路尽量靠近单片机,引线(ynxin)短而粗;(2) 晶振电路电容远离发热元件;(3)大电流信号线、电源变压器远离晶振信号的连线;用地线包围振荡电路。 共一百六十七页复位(f wi) 本单片机的复位电路以差分比较器LM393为核心构成。所

29、谓复位,就是(jish)令RST引脚上出现宽度大于10ms的正脉冲,而在单片机工作期间,RST引脚为“0”电平。本机的复位电路如图137所示。 共一百六十七页由图可见,在上电之后,9脚电压立刻跳变为高电平,单片机进入复位状态。12V电源通过10K电阻(dinz)对电容充电,当充到运算放大器的负极输入电压高于正极时,运算放大器输出“0”电压,复位过程结束。正极电压为,复位时间可由零状态响应方程算得:共一百六十七页式中,us为12V充电电压,uc为10uf电容(dinrng)电压,R为10K充电电容(dinrng),带入相应值,有 即复位时间为38.6毫秒左右。LM393可以在非复位期间将单片机复

30、位脚电压(diny)牢牢箝在低电平上,即使电源受到高电平脉冲干扰,由于运算放大器LM393的抗共模干扰能力,仍会令89C52的复位脚保持低电压(diny),由此排除了高电平噪声脉冲误复位的干扰。在图13-7所示复位电路中,放电二极管4007必不可少,在电源断电时它可以使电容迅速放电,从而确保电源恢复时单片机可靠复位。共一百六十七页13.3.2电源(dinyun)子模块 本机采用交流220V供电,驱动警号发声、输出供电、给蓄电池充电和驱动干簧管继电器需要12V供电,板上其余(qy)集成块和阻容元件使用直流5V供电,故电路板上设计为双电源输出。 220V/50Hz的市电进入本机后,首先进入变压器,

31、输出交流14V;交流14V进入主电路板的电桥,经过电桥整形和电容滤波去交流成分后送入12V集成三端稳压器7812,生成12V直流电,一边满足音频功率放大器LM386、干簧管继电器、外接探测器工作等供电需求,一边送给5V集成三端稳压器7805,生成直流5V,给电路板上其余器件供电。其连接示意图如图138所示。 共一百六十七页 7812、7805的输出负载电流均为500mA,本机在报警时,有最大消耗(xioho)功率3.6W,折合7812输出负载电路300mA,故能够以12V电压输出200mA电流供外接报警器使用。两片集成三端稳压器都配有散热片,如散热片与集成三端稳压器接触不良,会导致集成三端稳压

32、器温度过高,严重时会烧毁集成三端稳压器。 共一百六十七页 本机可以选配12V蓄电池一块,它在有220V市电输入时不工作或者被充电,在停电时输出12V电压支持本机继续工作一段时间;图中270欧姆电阻为电池的充电电阻,它使初始充电电流不至于过大而损坏了电池。 单片机应用系统中最重要并且危害最严重的干扰来源于电源的污染。由于电源是由市电电网经变换后得到,市电电网电源污染问题严重。各种( zhn)干扰极易耦合到供电线路中,同时,电源本身也会产生干扰。常见的干扰源如图139所示。 共一百六十七页共一百六十七页对本机有较大(jio d)影响的是电网干扰、电源干扰和继电器干扰。电网干扰包括以下几个方面:(1

33、) 电压的波动:过压、欠压和突然停电等。(2) 浪涌、下陷:浪涌与下陷是电压的快变化,如果幅度过大也会损坏系统。(3) 尖峰电压:尖峰电压的持续时间很短,电压幅值比较高,对单片机的干扰比较严重。 继电器的线包是一个电感负载,其触点相当于一个开关。当继电器的线圈通断电流时,在开始的几微秒内不改变瞬态的形式。这表明在此短时间内,分布电容使线圈有效短路,它是引起干扰瞬态的原因之一。共一百六十七页 继电器线圈电感与分布电容的比很大,这样(zhyng),当电流截断时,继电器线圈周围的磁场消失,在大约3S之内,电压上升到近似电源电压的100倍,即具有陡峭前沿的大幅度浪涌电压,然后按线圈、分布电容和电阻所决

34、定的速率下降到零。继电器的浪涌电压具有陡峭的前沿,它能产生强烈的冲击和激励。同时,普通继电器的触点开关呈现机械弹性震荡。当开关闭合时它引起电流的重复闭合和断开,这时所产生的瞬态,比断开时所产生的瞬态具有更长的持续时间,干扰也更严重。 共一百六十七页 在由变压器、整流管、调整管等组成的线性稳压电源内,因整形单向脉冲,会产生电磁干扰信号。为了减少干扰因素,本机在设计上采用了一系列抗干扰的措施,包括供电策略、电源接地和电源滤波技术。对于单片机应用系统中的不同(b tn)器件,合理的供电策略可以提高系统的抗干扰性能。图1310(a)所示表示两负载采用一根电源母线供电的情况,图1310(b)所示表示两负

35、载采用各自独立的电源线供电的情况。 共一百六十七页 减少电源的接地电阻,那么两负载间的耦合可以减小。图1310(b)所示的分开供电的方法有助于减少通过公共电源母线(mxin)上产生的负载感生干扰。 共一百六十七页本机在设计接地时,遵循以下原则:(1)在接地面上,电源接地和数字信号接地相互隔离,减少地线间的耦合。(2)分别建立交流、直流和数字信号的接地通路。(3)将几条接地通路接到电源公共点上,以保证电源电路有低的阻抗(zkng)通道。电源滤波的主要目的是抑制在电源线上的传导高频干扰。单片机系统的电源滤波通常包括交流端的滤波和直流端的滤波。直流端的滤波主要是抑制整流电路和开关电路所产生的高频干扰

36、,通常用电容滤波就足够了。共一百六十七页13.3.3数码管显示(xinsh)驱动子模块 显示部分由4个状态显示灯、1个红色共阴极7段数码显示管、1片移位寄存器74LS164、单片机的2根口线以及一些外围阻容元件构成,用来显示报警、布防、撤防、录音、电源、电话输入号码、报警拨打号码、异地现场监听等多种状态和信息。 74LS164是8比特串入并出移位寄存器。它内部由8个级联的DQ触发器构成。单片机的1脚作为(zuwi)74LS164的时钟驱动线,2脚作为(zuwi)74LS164的数据输入线,74LS164的并行输出线分别接7段数码管的各段对应管脚,在单片机程序中,根据74LS164的真值表和时序

37、图,在2根口线上输入符合74LS164输入要求的0/1数据,就可以在数码管上显示相应的内容。共一百六十七页下面给出驱动74LS164的子程序:sbit LS164_CP= P10; /定义单片机1脚控制74LS164的时钟线sbit LS164_AB= P11; /定义单片机2脚为74LS164的数据线 void LED_DRIVER(bit D7,bit D6,bit D5,bit D4,bit D3,bit D2,bit D1,bit D0) LS164_AB=D7; LS164_CP=1; LS164_CP=0; /送入最高位比特 LS164_AB=D6; LS164_CP=1; LS1

38、64_CP=0; LS164_AB=D5; LS164_CP=1; LS164_CP=0; LS164_AB=D4; LS164_CP=1; LS164_CP=0; LS164_AB=D3; LS164_CP=1; LS164_CP=0; LS164_AB=D2; LS164_CP=1; LS164_CP=0; LS164_AB=D1; LS164_CP=1; LS164_CP=0; LS164_AB=D0; LS164_CP=1; LS164_CP=0; /送入1字节数据(shj)的最低位比特,置低时钟,保持/数据例如要让数码管显示“5”,根据74LS164的并行输出线与数码管的连线情况,知

39、道令74LS164并行输出“1,1,0,0,1,1,0,1”即可,在程序中执行:LED_DRIVER(1,1,0,0,1,1,0,1);就达到目的。 共一百六十七页13.3.4语音录制(l zh)存储子模块 本机具有语音录制/存储/播放功能,使用者可以预先录下一段语音,在向外报警时播放。这样警情接听者就可以在没有电子地图的情况下获得一定的信息。 本机语音录制存储子模块以单片20秒周期录/放音语音电路ISD1420为核心,再结合一个(y )录音麦克、一个(y )录音按钮和几个阻容元件即可实现语音录/放功能,单片机只需要2根线分别控制ISD1420的播放方式、监控ISD1420的播放状态。ISD1

40、420的取样频率为6.4KHz,取样的语音直接存储到片内的不挥发存储器中,不需要数字化和压缩的其它手段。共一百六十七页 ISD1420由一个单录音信号REC实现录音操作。麦克输入信号经AC耦合传送到前置放大(fngd)器,AC耦合电容和ISD1420内部一个10K电阻一起决定低频截至频率。ISD1420前置放大(fngd)器的输出由输入信号、麦克基准和自动增益控制共同决定。麦克基准(MIC REF)是麦克前置放大(fngd)的反相输入,当器件使用该输入脚并以差分形式连接到麦克时,能减少噪声、实现共模抑制。本机电路经测试共模干扰小,故没有使用差分输入,麦克基准脚(18脚)悬空。自动增益控制(AG

41、C)调整前置放大(fngd)器的增益,能在一个很宽的范围内适应麦克的输入电平。共一百六十七页 当录音时间超过20秒时,ISD1420自动(zdng)退出录音状态,并使能25脚变低,指示录音结束。每次录音都从内部存储器的地址“0”开始存放,且在录音前将内部寄存器全部清零。 ISD1420的放音过程很简单,单片机通过1根口线连接ISD1420的PLAYL脚,控制放音启动和选择电平放音模式,当此管脚的信号由高变为0时,将开始放音操作;PLAYL变为高电平,遇到结束标志(EOM)或存储器的尾部放音将停止,ISD1420自动进入调电等待模式。并通过另1根口线检测放音结束标志,以决定后续处理。语音录制存储

42、子模块的电路连接方式如图1311所示: 共一百六十七页共一百六十七页13.3.5数据(shj)存储子模块 数据存储子模块由串行EEPROM24C02构成。24C02内部具有2568的存储结构,在IC总线主控器进行读写操作时,要先给定一个起始操作地址,下一字节操作时地址会自动加一。外部有3个器件编码地址管脚、2个IC总线接口管脚。器件编码地址管脚用来在多个IC总线器件同时挂在IC总线上时进行器件寻址。24C02可以存储6组用户设置的报警电话号码、异地(yd)电话操作密码、报警主机等待摘机的电话振铃次数。 共一百六十七页I2C总线(zn xin)的基本原理 I2C总线是一种串行总线。它采用两线制,

43、即一根时钟线和一根数据线。I2C总线的时钟线SCL和数据线SDA都是双向传输线,总线备用时SDA和SCL都必须保持高电平状态(zhungti),只有关闭I2C总线时才使SCL钳位在低电平。 I2C总线上每传输一位数据都有一个时钟脉冲相对应,在时钟线高电平期间数据线上必须保持有稳定的逻辑电平状态,高电平为数据1,低电平为数据0。只有在时钟线为低电平时,才允许数据线上的电平状态变化。如图1312所示。 共一百六十七页 I2C总线传送数据时有两种时序状态被分别定义为起始信号和终止信号。在时钟线保持高电平期间,数据线出现由高到低的电平变化时将启动(qdng)I2C总线,为I2C总线的起始信号。在时钟线

44、保持高电平期间,数据线出现由低到高的电平变化时将停止I2C总线的数据传输,为I2C总线的终止信号。起始信号和终止信号都由主控制器AT89C52产生。 共一百六十七页对于不具备I2C总线硬件接口的AT89C52单片机来说,为了能够(nnggu)准确的检测到总线上的信号,必须保证在总线的一个时钟周期内对数据线至少进行两次采样。如图1313所示: 共一百六十七页I2C总线(zn xin)上的数据传输格式 I2C总线传送的每一个字节均为8位,但每启动一次I2C总线,其后的数据传输字节数是没有限制的。每传送一个字节后都必须跟随(n su)一个应答位,并且首先发送的数据位为最高位,在全部数据传送结束后主控

45、制器发终止信号,如图1314所示。 共一百六十七页从如图13-14所示可以(ky)看到,没有时钟信号时数据传送将停止进行,接口的线与特征将使SCL在低电平时钳住总线。共一百六十七页 这种情况可以用于当接收器接收到一个字节数据后要进行一些其他工作而无法立即接收下个数据时,迫使总线进入等待状态,直到接收器准备好接收新数据时,接收器再释放时钟线使数据传送得以继续进行。I2C总线数据传送时,每传送一个字节数据后必须有应答信号,与应答信号相对应的时钟由主控器产生,这时,发送器必须在这一时钟位上释放数据线,使其处于(chy)高电平状态,以便接收器在这一位上送出应答信号。应答信号在第9个时钟位上出现,接收器

46、输出低电平为应答信号,输出高电平则为非应答信号。 共一百六十七页 按照总线规约,起始信号表明一次数据传送(chun sn)的开始,其后为寻址字节,寻址字节由高7位地址和最低1位方向位组成,方向位表明主控器与被控器数据传送方向,方向位为“0”时表明主控器对被控器的写操作,为1时表明主控器对被控器的读操作。在寻址字节后是按指定读、写操作的数据字节与应答位。在数据传送完成后主控器都必须发送停止信号,下面以简化的图解方式(见书)介绍两类数据传送格式。 共一百六十七页从上述数据传送格式可以看出:l 无论何种方式起始、停止,寻址地址都由主控器发送,数据字节的传送方向则遵循寻址字节中方向位的规定。l 寻址字

47、节只表明器件地址及传送方向,器件内部的n个数据地址由器件设计者在该器件的I2C总线数据操作格式中指定第一个数据字节作为器件内的单元地址数据,并且设置地址自动加减功能。l 每个字节传送都必须有应答信号相随。l I2C总线被控器在接收到起始信号后都必须复位它们(t men)的总线逻辑,以便对将要开始的被控器地址的传送进行预处理。 共一百六十七页I2C总线的地址(dzh)约定为了消除I2C总线(zn xin)系统中主控器与被控器的地址选择线,最大限度地简化总线(zn xin)连接线,I2C总线采用了独特的寻址约定,规定了起始信号后的第一个字节为寻址字节,用来寻址被控器件,并规定数据的传送方向。在I2

48、C总线标准规约中,寻址字节由被控器的七位地址位(它占据了D7-D1位)和一位方向位(D0位)组成。七位地址位由器件编号地址(高4位D7-D4)和引脚地址(低3位D3-D1)组成;方向位为0时表示主控器将数据写入控制器,为1时则表示主控器从被控器读取数据。 共一百六十七页 主控器发送起始信号后,立即发送寻址字节,这时,总线上的所有器件都将寻址字节中的7位地址与自己器件地址相比较。如果两者相同,则该器件认为被主控器寻址。在本产品中,单片机始终作为主控器,不用考虑其器件地址;AT24C02始终作为被控器,查AT24C02的器件手册可知AT24C系列E2PROM器件编号地址均为1010,引脚地址为A2

49、、A1、A0,在电路板上均接地(jid),因此都为0,则该器件的寻址字节为SLAW=0A0H,SLAR=0A1H。 共一百六十七页数据操作(cozu)格式I2C总线上挂接的存储类器件片内字寻址范围为256字节。 通常E2PROM写入时,总需要一定的写入时间5-15ms,在写入程序中无法连续写入多个数据字节。为解决连续写入多个数据字节,常设置页写功能,即在E2PROM器件中设有一定容量(页写)的数据寄存器。用户一次写入E2PROM的数据字节不大于页写字节数时,可按通常RAM的写入速度,装载入E2PROM中的数据寄存器中,随后启动自动写入定时逻辑,经过5-10ms时间,自动将数据寄存器中的数据同步

50、(tngb)写入E2PROM的指定单元中。这样一来,只要一次写入字节数不多于页写容量,总线对E2PROM的操作可视为对静态RAM的操作,只要求下次数据操作在5-10ms之后进行。 共一百六十七页在AT24C系列中,对应于页写字节数,数据寄存器分别有2、3、4位页地址。这些地址分别是AT24C系列片内E2PROM的最低2、3、4位地址,为字地址的低位部分。在写入时,写入数据按照字地址的低位部分,定位在数据寄存器的页地址空间、数据寄存器地址的低位部分(页地址),溢出时不会向字地址的高位部分进位,这就会造成写入数据在地址空间的翻卷现象,现以本产品中的AT24C02为例加以(jiy)说明。共一百六十七

51、页 AT24C02的页写字节数为8,片内数据寄存器的页地址,从000到111。在数据写入操作时,根据字地址(WORDADR)的最低3位地址装载到指定的数据寄存器中,当装载到数据寄存器地址为111后一个数据时,该数据进入数据寄存器的000地址中,而字地址的A3位并不进位,这就造成写入地址的翻卷。 对应于页写功能,AT24C02有字节写和页写两种方式进行写操作。页写是指向E2PROM片内指定单元(dnyun)(WORDADR)连续写入不多于n个字节页写数据(mn)的操作。N为页写字节数,m为写入字节数。 共一百六十七页 AT24C系列E2PROM的读操作与通常的SRAM相同,但每读一个字节地址自动

52、加1。由于数据字地址寄存器提供其地址空间与页写数据寄存器空间相同,因此地址指针同样会出现翻卷现象。为了避免(bmin)纠缠翻卷现象,本产品采用指定地址读的方式。指定地址读是按指定的字地址读出一个字节数据的操作。由于要写入字地址,故要先进行一个字地址的写操作,然后重复起始状态,读入一个数据字节, 共一百六十七页13.3.6双音多频信号接收(jishu)发送子模块双音多频 为了接收(jishu)电话机输入的电话号码,在报警状态下向外拨出电话号码,本机包含了双音多频接收(jishu)/发送电路。 电话机有两种拨号方式,即脉冲拨号和双音多频拨号,现在以双音多频最为普遍使用。双音多频拨号方式中的双音频是

53、指两个特定的单音频信号的组合来代表数字或功能。两个单音频的频率不同,所代表的数字和功能也不同。共一百六十七页 根据CCITT的建议,国际上采用697Hz、770Hz、852Hz、941Hz、1209Hz、1336Hz、1477Hz和1633Hz这8个频率产生8种不同的单音频信号,故称为多频。把这8种频率分成两个群,即高频群和低频群。从高频群和低频群任意各抽出一种频率进行组合,共有(n yu)16种不同的组合,代表16种不同的数字或功能,如表13-2所示。 共一百六十七页表13-2双音多频的组合(zh)双音多频的组合功能数字或功能高频群 Hz1209133614771633低频群Hz697123

54、A770456B852789C941*0#D共一百六十七页MT8880CE 本机的双音多频信号接收发送子模块以MT8880CE为核心构成。MT8880CE是带调用进程滤波器的集成双音多频收发器,20脚塑料DIP封装。它由CMOS工艺制作,耗散功率低,可靠度高。它的内部计数器提供了一个爆发模式,这样,爆发铃音能以精确(jngqu)的时间进行传输。与MT8880CE相连的单片机或者其他微处理器可以选择打开“调用进程滤波器”来分析调用进程音。MT8880CE与单片机之间可以使用标准微处理器总线直接匹配连接, 共一百六十七页DTMF生成器 内嵌在MT8880CE中的DTMF生成(shn chn)器可以

55、精确地生成(shn chn)16个标准的DTMF音对。生成音对需要的所有频率由外部3.579545MHz晶振分频可得。要通过单片机AT89C52指定一个DTMF信号,或者向单片机发送一个信号,都要遵循一个编码格式,其对应关系如表13-4所示。 共一百六十七页低频群Hz697697697770770770852852852941941941高频群Hz120913361477120913361447120913361477133612091477数字或功能1234567890*D3000000011111D2000111100001D1011001100110D0101010101010共一百六十

56、七页爆发(bof)模式在某些电话应用中,要求被生成的DTMF信号可以被指定一个持续周期。这时,标准DTMF信号时序能够通过使用爆发模式实现(shxin)。这个爆发/暂停持续时间是51ms1ms,这个持续时间对自动拨号是标准间隔。当这个爆发/暂停信号被发送后,在MT8880CE状态寄存器的相应位被硬件置位,表示发送器已经准备好发送下一个数据。在DTMF模式下,发送爆发/暂停信号的时序就是如此;但在CP(调用进程)模式下,爆发/暂停持续时间是102ms2ms。当CP模式和爆发模式都被选中时,DTMF信号只能被发送,但不能接收。共一百六十七页内部(nib)寄存器 MT8880CE内部有5个寄存器,即

57、1个发送数据寄存器、1个接收数据寄存器、1个控制寄存器、2个状态寄存器,首先通过如表13-5所示了解(lioji)如何选择寄存器。 RS0R/W功能00写发送数据寄存器01读接收数据寄存器10写控制寄存器11读状态寄存器共一百六十七页 不论操作哪个寄存器,MT8880CE与单片机AT89C52交换数据都是通过D3D0这四根总线进行。通过如表13-6、表13-7、表13-8所示看看状态寄存器和2个控制(kngzh)寄存器的含义。 MT8880CE状态(zhungti)寄存器位名称状态标志置位状态标志清除b0IRQ中断发生时,该位或者b1置位无中断。读状态寄存器后该位被清除b1发送数据寄存器空(爆

58、发模式)暂停持续周期结束,发送器准备发送新数据读状态寄存器后该位被清除,或者不在爆发模式下b2接收数据寄存器满在接收数据寄存器中有数据读状态寄存器后该位被清除b3指导检测不到有效的DTMF信号检测到有效的DTMF信号后被清除共一百六十七页MT8880CE控制(kngzh)寄存器A位名称功能描述b0TOUT音对或单音输出高电平使能输出。爆发模式或非爆发模式都可使用b1CP/DTMF模式控制逻辑“0”选择DTMF模式,逻辑“1”选择CP模式b2IRQ中断使能逻辑“1”使能中断模式。当中断使能且选择DTMF模式,管脚IRQ/CP会在收到1个正确的DTMF信号后发中断,置“0”b3RSEL寄存器选择逻

59、辑“1”将在下一个写操作选择控制寄存器B,然后返回A共一百六十七页MT8880CE控制(kngzh)寄存器B位名称功能描述b0BURST爆发模式逻辑“0”使能爆发模式b1TEST测试模式逻辑“0”使能测试模式b2S/D单音/双音生成逻辑“0”允许DTMF信号生成,逻辑“1”允许单音生成b3C/R行/列音选择逻辑“0”选择行频率,“1”选择列频率共一百六十七页工作(gngzu)方式 单片机从AT24C02读出报警号码,通过4根数据总线送给MT8880CE,由它生成相应的双音多频码,再送给发送电路。单片机通过操作I/O控制器、控制寄存器A、控制寄存器B,检测状态寄存器即可使MT8880CE工作在各

60、种状态。比如说MT8880CE可以工作在DTMF模式下,接收DTMF信号(xnho),也可以工作在呼叫处理模式下,接收频率为450赫兹的呼叫处理音;在发送DTMF信号时,MT8880CE既可以工作在爆发模式下,以51ms的间隔发送DTMF信号,也可以工作在测试模式下,以102ms的间隔发送信号。接收DTMF信号时序如图13-15所示。 共一百六十七页共一百六十七页单片机89C52从MT8880CE中读数据和向MT8880CE中写数据(shj)的时序如图13-16与图13-17所示。 89C52读MT8880CE数据(shj)周期 共一百六十七页89C52写MT8880CE数据(shj)周期 共

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论