存储器与可编程逻辑器件课件_第1页
存储器与可编程逻辑器件课件_第2页
存储器与可编程逻辑器件课件_第3页
存储器与可编程逻辑器件课件_第4页
存储器与可编程逻辑器件课件_第5页
已阅读5页,还剩124页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第六章存储器与可编程逻辑器件存储器概述存储器:专用于存放大量二进制数码的器件按材料分类 1) 磁介质类软磁盘、硬盘、磁带、 2) 光介质类CD、DVD、MO、 3) 半导体介质类SDRAM、EEPROM、FLASH ROM、按功能分类随机存储(读写)存储器 RAM只读存储器 ROM RAM: SDRAM, 磁盘, ROM: CD, DVD, FLASH ROM, EEPROM存储器一般概念存储器分类: RAM (Random Access Memory) 随机存取存储器ROM (Read Only Memory) 只读存储器随机存取存储器:在运行状态可以随时进行读或写操作RAM信息易失: 芯片

2、必须供电才能保持存储的数据SRAMDRAM只读存储器:通过特定方法写入数据,正常工作时只能读出ROM信息非易失:信息一旦写入,即使断电也不会丢失ROM (工厂掩膜)PROM(一次编程)存储器概述PROM(多次编程)双极型MOS型存储器:专用于存放大量二进制数码的器件存储器的主要性能指标:容量:存储单元总数(bit)存取时间:表明存储器工作速度其它:材料、功耗、封装形式等等存储器概述1Kbit=1024bit=210bit128Mbit=134217728bit=227bit字长:一个芯片可以同时存取的比特数1位、4位、8位、16位、32位等等标称:字数位数 如4K8位=2128=215单元(b

3、it)读操作和写操作时序图:存储器的工作时序关系随机存取存储器 随机存取存储器(RAM) ,它能随时从任何一个指定地址的存储单元中取出(读出)信息,也可随时将信息存入(写入)任何一个指定的地址单元中。因此也称为读/写存储器。优点:读/写方便缺点:信息容易丢失,一旦断电,所存储器的信息会随之消失,不利于数据的长期保存。存储矩阵(2n字m位)片选与读写控制电路CSR/W地址输入控制输入三组输入信号: 地址输入、控制输入和数据输入一组输出信号:数据输出大容量RAM数据输入输出合为双向端口A0Ai地址译码器.W0.m数据输入/输出I/Om主要由地址译码器、存储体及读出电路等三部分组成。 存储矩阵 地址

4、译码器数据输入/输出地址输入控制信号输入( CS 、R/W)地址译码器:对外部输入的地址码进行译码,唯一地选择存储矩阵中的一个存储单元输入/输出控制电路:对选中的存储单元进行读出或写入数据的操作存储矩阵:存储器中各个存储单元的有序排列RAM的结构框图片选与读写控制电路1. 存储矩阵:由存储单元构成,一个存储单元存储一位二进制数码“1”或“0”。与ROM不同的是RAM存储单元的数据不是预先固定的,而是取决于外部输入信息,其存储单元必须由具有记忆功能的电路构成。2. 地址译码器:也是N取一译码器。3. 读/写控制电路:当R/W=1时,执行读操作,R/W=0时,执行写操作。4. 片选控制:当CS=0

5、时,选中该片RAM工作, CS=1时该片RAM不工作。静态MOS存储单元(SRAM) MOS六管存储单元 T1T4构成基本RS触发器 T1T2构成MOS反相器 T3T4构成MOS反相器两个反相器输入与输出交叉连接,构成基本RS触发器,储存数据 。T5、T6本单元控制门 Xj=1,行地址选择线Xi有效(选中) T5、T6开通,触发器的两个互补输出端与位线接通。 Xj=0,行地址选择线Xi无效 T5、T6关断,触发器的两个互补输出端与位线隔离。存储单元VDDT3T4T2T1T6T5行选择Xi列选择Yj数据位数据位DDT8T7B位线B位线T7、T8列存储单元的公用控制门 Yj=1,T7 、T8均导通

6、,触发器的输出才与数据线接通,该单元才能通过数据线传送数据。 读出是无破坏性的,即,读操作不会使数据发生改变。 写入会改变原来存放的数据。即,不管原来存放的数据是什么,写操作后一定是新写入的数据。 读取数据:VDDT3T4T2T1T6T5行选择Xi列选择Yj数据位数据位DDT8T7B位线B位线先将待写入的数据送到 D、 端上,再使X、Y有效, T5T8开通,外来数据强行使触发器置位或复位。 使Xi、Yj有效,T5T8开通,触发器的两个互补输出端分别向 D、 端传出数据;写入数据:11VDDT3T4T2T1T6T5行选择Xi列选择Yj数据位数据位DDT8T7B位线B位线片选:写入数据:片选和读写

7、控制逻辑的与门被封锁,输出0,片选和读写控制逻辑的与门输出由读写信号控制,允许读写操作。片选与读写控制&I/OA3A2A1100三态门A1、A2、A3均为高阻,存储单元与数据总线隔离,读写操作禁止。0VDDT3T4T2T1T6T5行选择Xi列选择Yj数据位数据位DDT8T7B位线B位线&I/OA3A2A1读操作片选和读写控制逻辑的与门输出由读写信号控制读写控制三态门A2、A3高阻A1打开,数据输出到总线写操作三态门A1高阻,A2 、A3开放,数据经位线使存储单元置数。0101010SRAM的基本结构 8根列地址选择线32根行地址选择线1024个存储单元,排成3232的矩阵7.1.1 RAM的结

8、构图中的每个地址译码选通时有四个存储单元同时输入输出;存储器容量为256字4位1024bit存储器存储矩阵结构存储单元数量多,将存储单元排列成矩阵形式(存储器阵列)阵列中各单元的选择称地址译码A0A1A2A3A4A5A6A7CS0CS1CS255地址译码器存储器阵列01255A0A1A2A3CSX0CSX1CSX15行地址译码器列地址译码器A4A5A6A7CSY0CSY1CSY15011516173232241255单译码行列(双)译码地址译码方式从RAM的结构再看RAM指标的意义:容量:指存储矩阵的大小,即阵列中所有存储单元的总数字数2n :指地址单元的总数为2n ,n为RAM外部地址线的

9、根数字长:指每个地址单元中的数据位数;也即是每次寻址后 从存储器中读出(或写入)的数据位数存储容量字数(2n )字长(数据位数)10.1.1 只读存储器(ROM)1ROM的结构图10-1 ROM的结构主要由地址译码器、存储体及读出电路等三部分组成。 利用触发器保存数据写入时在D和/D上加上反相信号,引起触发器的翻转即可数据读出非破坏性,一次写入,可以反复读出存储单元占用管元多,每比特面积大、功耗高动态存储单元利用栅级电容上的存储电荷保存数据写入过程是给电容充电或放电的过程破坏性读出存储单元管元少、面积小、功耗低、利于海量存储需要刷新时序控制存储单元特点比较:静态存储单元动态MOS存储单元(DR

10、AM)CS、T:存储元件: CS:数据存储在CS上。 CS上充满电荷时表示存储1, CS上无电荷时表示存储0。 T:门控管,工作在开关状态。CB:杂散分布电容,CS、CB的容量都很小。选择线高电平时,MOS管T导通,存储器被选中。 CSCBT 选择线数据线存储单元DRAM工作原理(1)写数据: 待写数据位加在数据线上 CB充放电 选择线加高电平使T导通 CS充放电 撤消选择线上的高电平使T截止 CS上的电荷状态被保持。(2)读数据: 使数据线置于中间电位 CB充放电 使数据线处于高阻抗,选择线加高电平使T导通 CB和CS上的电荷重新分配达到平衡。若 平衡后的电位高于中间电位,则原来存入的数据为

11、1; 平衡后的电位低于中间电位,则原来存入的数据为0。 注意: 读取数据时CS上的电荷发生了改变,原来存入的数据被破坏 应立即将读得的数据重新写入。CSCBT 选择线数据线存储单元Di1Di0(3)刷新: 刷新:由于漏电,应周期性地给Cs补充电荷, 使存储的数据不丢失。 刷新操作:执行一次读操作,但并不使用读得 的数据。 存储单元为阵列排列时,以行为单位进行刷新, 周期约为几毫秒 存储器:由大量的存储单元按阵列方式排列形成。 例:44 存储单元方阵构成的存储器,能存放16个二进制数据位: A0A3: 地址,对某一存储单元进行选择。其中: A1A0:由X选择译码电路译为4路输出,用作行选择; A

12、3A2:由Y选择译码电路译为4路输出,用作列选择。 只有行和列同时选中的存储单元才能通过D、 端进行访问。 Y选择译码X选择译码A0A1A2A3DD0,0X0X1X2X3Y3Y2Y1Y0读写控制I/OCS/RW0,10,20,31,01,11,21,32,02,12,22,33,03,13,23,3存储器的扩展 当用一片ROM/RAM芯片不能满足存储容量的需要时,可以将若干片ROM/RAM组合到一起,接成一个存储容量更大的ROM/RAM,称为存储器的扩展存储芯片配置的数量采用多片ROM/RAM经扩展后组成容量更大的ROM/RAM存储以满足系统的需要。芯片数量的选择由系统要求的容量(MN)和单片

13、容量(mn)来决定已知系统要求的内存容量为M(字数)N(字长或位数),现有单片ROM/RAM的容量为m(字数)n(字长或位数)。所需单片ROM/RAM的数量计算原则如下。计算原则:Mm,N n根据字数计算所需单片数:M/m (取整数)根据字长或位数计算所需单片数:N/n (取整数)总片数:S=(M/m )(N/n )扩展方式若单片ROM/RAM的字数满足系统内存总的字数要求,而每个字的字长或位数不够用时,则采用位扩展方式位扩展后的存储器字数没改变而位数增加,存储器容量相应增加 1位扩展方式 1位扩展方式2字扩展方式3字位扩展方式RAM容量的位(字长)扩展D0 D1 D2 D3CSD12 D13

14、 D14 D15A11A0R/WR/WCSA0A114K4位I/O0 I/O1 I/O2 I/O3R/WCSA0A114K4位I/O0 I/O1 I/O2 I/O3 位数扩展利用芯片的并联方式实现用1K1位的RAM扩展成1K8位的存储器。用8片1K1位芯片经位扩展后组成的1K8位存储器 2字扩展方式若每一片ROM/RAM的数据位数够,而字数不能满足系统内存总的字数要求,则采用字扩展方式,将多片存储器(RAM或ROM)芯片接成一个字数更多的存储器。字扩展后的存储器数据位数或字长没有变,而字数增加,存储器容量相应增加字数的扩展可以利用外加译码器控制芯片的片选( CS )输入端来实现。 RAM容量的

15、字扩展字数的扩展(地址的扩展)利用外加译码器控制存储器芯片的片选输入端(I)(II)(III)(IV)芯片74139有效输出端A14A13 IY00 0 IIY10 1IIIY21 0IVY31 1低位地址并联入各芯片,高位地址经译码作为各芯片的片选信号,数据端并接用2568位RAM扩展成10248位RAM 用4片2568位RAM经字扩展后组成的1K8位存储器 图示是用字扩展方式将4片2568位的RAM扩展为10248位RAM的系统框图。图中,译码器的输入是系统的高位地址A9、A8 ,其输出是各片RAM的片选信号。若A9A8=01 ,则RAM(2)片的 /CS=0 ,其余各片RAM的 /CS均

16、为1,故选中第二片。第二片的信息可以读出,送到位线上。读出的内容则由低位地址 A7A0决定。显然,4片RAM轮流工作,任何时候,只有一片RAM处于工作状态,整个系统字数扩大了4倍,而字长仍为8位。 3字位扩展方式当单片ROM/RAM的字数和位数都不够时,就要采用字位扩展方式 用1K4位RAM扩展成一个4K8位存储器 用8片1K4位RAM芯片,经字位扩展构成的存储器 N字M位ROM结构 ROM结构二极管ROM结构图A1A0D3D2D1D0001100011001101010111101ROM的数据表 将ROM输入地址A1A0视为输入变量,而将D3、D2、D1、D0视为一组输出逻辑变量,则D3、D

17、2、D1、 D0就是A1、A0的一组逻辑函数。 ROM在组合逻辑设计中的应用ROM的与或阵列图 (a) 框图; (b) 符号矩阵 与阵列A0A1或阵列F0F1F2F3m0m1m2m3m0m1m2m3F0F1F2F3A0A1A0A1A0A1(a)(b) 用ROM实现逻辑函数一般按以下步骤进行: (1) 根据逻辑函数的输入、输出变量数,确定ROM容量,选择合适的ROM。 (2) 写出逻辑函数的最小项表达式,画出ROM阵列图。 (3) 根据阵列图对ROM进行编程。 例 用ROM实现四位二进制码到格雷码的转换。 解 (1) 输入是四位二进制码B3B0,输出是四位格雷码,故选用容量为244的ROM。 (

18、2) 列出四位二进制码转换为格雷码的真值表,如表 9 - 2 所示。由表可写出下列最小项表达式: 四位二进制码转换为格雷码的真值表 四位二进制码转换为四位格雷码阵列图 3. 可擦除的可编程ROM(EPROM) SIMOS管的结构和符号 (1) EPROM的存储单元采用浮栅雪崩注入MOS管 10.1.1 只读存储器(ROM)1ROM的结构图10-1 ROM的结构主要由地址译码器、存储体及读出电路等三部分组成。 地址译码器的作用是将输入的地址译码成相应的控制信息,利用这个控制信号从存储矩阵中把指定的单元选出,并把其中的数据送到读出电路。 存储矩阵中字线和位线交叉处能存储一位二进制信息的电路叫做一个

19、存储元。而一个字线所对应的m个存储元的总体叫作一个存储单元。ROM中的存储元不用触发器而用一个半导体二极管或三极管,但更多的是由MOS场效应管组成。这种存储元虽然写入不方便,但电路结构简单,有利于提高集成度。 读出电路的作用有两个:一是提高存储器的带负载能力;二是实现对输出状态的三态控制,以便于系统的总线联结。 通常用位(bit)和字节(Byte)作为存储器的存储单位。 位用来表示一个二进制信息的0和1,是最小的存储单位。在微型计算机中信息大多是以字节形式存放的。一个字节由8个信息位组成,字是计算机进行数据处理时,一次存取、加工和传递的一组二进制位,它的长度是字长。字长是衡量计算机性能的一个重

20、要指标 6ROM在组合逻辑设计中的应用 用ROM实现组合逻辑的基本原理可从存储器和与或逻辑网络两个角度来理解。 用ROM实现组合逻辑函数时,具体的做法就是将逻辑函数的输入变量作为ROM的地址输入,将每组输出对应的函数值作为数据写入相应的存储单元中即可,这样按地址读出的数据便是相应的函数值。从与或逻辑网络的角度看,ROM中的地址译码器形成了输入变量的所有最小项,即实现了逻辑变量的与运算。ROM中的存储矩阵实现了最小项的或运算,即形成了各个逻辑函数。 图10-4 ROM的与或阵列图(a)框图;(b)符号矩阵 如图10-4所示,其中图10-4(a)为ROM的框图,图10-4(b)为ROM的符号矩阵图

21、。在图10-4(b)中,与阵列中的小圆点表示各逻辑变量之间的与运算,或阵列中的小圆点表示个最小项之间的或运算。 由图10-4可知,用ROM实现逻辑函数时,需列出它的真值表或最小项表达式,然后画出ROM的符号矩阵图。工厂根据用户提供的符号矩阵图,便可生产出所需的ROM。利用ROM不仅可实现逻辑函数(特别是多输出函数),而且可以用作序列信号发生器字符发生器以及存放各种数学函数表(如快速乘法表、指数表、对数表及三角函数表等)。 用ROM实现逻辑函数一般按以下步骤进行:(1)根据逻辑函数的输入、输出变量数,确定ROM容量,选择合适的ROM。(2)写出逻辑函数的最小项表达式,画出ROM阵列图。(3)根据

22、阵列图对ROM进行编程。【例10-1】用ROM实现四位二进制码到格雷码的转换。 解:(1)输入是四位二进制码,输出是四位格雷码,故选用容量为的ROM。(2)列出四位二进制码转换位格雷码的真值表,如表10-2 所示。由可写出下列最小项表达式为 二进制数(存储地址)B3B2B1B0格雷码(存放数据)G3G2G1G000000001001000110100010101100111100010011010101111001101111011110000000100110010011001110101010011001101111111101010101110011000表10-2 四位二进制码转换为四

23、位格雷码阵列图 (3)可画出四位二进制码格雷码转换器的ROM符号矩阵,如图10-5所示。图10-5 四位二进制码转换为四位格雷码阵列图10.2.1 PLD的电路表示法PLD器件的连接表示法如图 :图10-10 PLD的连接表示法: PLD器件图中与门的画法与传统画法不同,例如3个输入端的与门画法表示在图10-11中。 图10-11 与门画法 因为PLD器件中的与门输入端很多,一般一个与门往往要有几十个输入,传统画法已不适应,而PLD表示法更适合于“阵列图”。 PLD器件图一般将可编程的部分画成“阵列图”的形式。输入线在阵列图中往往画成列线(竖线),与门的输入线往往画成行线(横线)。图10-12

24、所示为3端输入的“与”阵列图。图10-12 阵列图 8.2 只读存储器(ROM) 按存储器功能的不同,ROM分为掩膜ROM(简称Mask ROM或ROM)、可编程ROM(简称PROM)、光可擦除可编程ROM(简称EPROM)、电可擦除可编程ROM(简称EEPROM)和快闪存储器五种。 8.2.1 掩膜只读存储器ROM 掩膜ROM又称内容固定的ROM,其存储的内容是固定不变的,厂方根据用户提供的程序设计光刻掩膜板,在制作芯片时一次成型,使用时无法再更改。 ROM的电路结构主要由地址译码器、存储矩阵和输出缓冲器三部分组成。其结构框图如图8.2.1所示。存储矩阵地 址 译 码 器输 出 缓 冲 器地

25、址输入数据输出图8.2.1 ROM的结构框图0单元1单元2单元2n-1单元 地址译码器:地址译码器负责把输入的n位二进制地址代码翻译成2个相应的控制信号,从而选中存储矩阵中相应的存储单元,以便将该单元的m位数据传送给输出缓冲器。 存储矩阵:存储矩阵由2n个存储单元组成。每一个存储单元都有一个确定地址。每个存储单元由若干基本存储电路组成(一般为2的整数倍)。基本存储电路可以由二极管、三极管或MOS管构成。每个存储电路只能存储一位二进制代码“0”或“1”。 输出缓冲器:输出缓冲器由三态门组成,其作用一是可以提高存储器的带负载能力,二是可以实现对输出状态的三态控制,以便与系统的数据总线连接。 图8.

26、2.2(a)是一个存储容量为44位(4个存储单元,每个存储单元4位)的只读存储器结构图。地址译码器由2线-4线译码器构成,存储矩阵都采用了二极管结构。A1A0为输入的地址码,可产生W0W3 4组不同的地址,从而选中所对应的存储单元。W0W3称为字线。存储矩阵由二极管或门组成,其输出数据为D3D0。当字线W0W3 其中之一被选中时,在位线b3b0上便输出一组4位二进制代码D3D0。 输出缓冲器为三态输出电路。当EN= 0时,允许数据从b3、b2、b1、b0各条位线上输出;当 EN= 1时,输出端为高阻状态。 分析图8.2.2不难看出,当地址码A1A0=00时,地址译码器中与W0相连的二极管同时截

27、至,字线W0被选中,W0变为高电位,其余字线均为低电位(称W0被选中)。W0与位线b2、b1相连的二极管导通,位线b2、b1也变为高电位,此时,位线上输出数据D3D2D1D0=0110;同理,当A1A0=01、10、11时,输出数据分别为1101、0001、1110。可编程只读存储器PROM PROM (Programmable Read-Only Memory)是一种一次性可编程只读存储器,可由用户自己将编写的程序写入存储器,即一次性写入信息。信息写入后只能读出,不能修改。 PROM常采用二极管或三极管做基本存储电路,熔丝状态决定单元内容。 二极管、三极管PROM单元,熔丝完好内容为1,烧断

28、为0 MOS管PROM单元,熔丝完好内容为0,烧断为1。 位线字线位线字线Vcc位线字线 PROM在出厂时,三极管阵列的熔丝均为完好状态,相当于所有基本存储电路的存储数据为“1”。 写入数据的过程实际上就是将相应的基本存储电路由“1”变“0”的过程。位线字线Vcc 当用户写入数据时,通过编程地址选中相应的字线,使之变为高电平。若在某位写“0”,写入逻辑使相应的位线呈低电平,三极管导通,较大的电流将熔丝烧断,即存入“0”。显然,熔丝一旦烧断,就无法复原,因此这种PROM只能一次性被编程。 读操作: 选中的字线变为高电平。若熔丝完好,则在位线输出数据“1”;若熔丝已烧断,则在位线输出“0”。 PR

29、OM的优点:是可实现由用户一次性编程, 缺点:是程序写入后不能修改,一旦写错,整个芯片报废。 位线字线Vcc光可擦除、可编程只读存储器EPROM EPROM是一种光可擦除、可编程只读存储器,可进行多次改写。使用时可通过紫外线照射将EPROM存储的内容擦除,然后用编程器写入新的信息。在奔腾代以前的计算机中用来存储BIOS程序的ROM就是采用这种芯片。 V2V1VCCV3FAMOSD S字线位线存储电路结构图存储器外形.EPROM 存储器光可擦除、可编程只读存储器EPROM 图8.2.5为EPROM的基本存储电路。图中V1相当于负载电阻,V3是浮栅雪崩注入式MOS管(FAMOS管)。这种FAMOS

30、管的栅极被二氧化硅绝缘层包围,对外无引出线而处于悬浮状态,故称为“浮栅”。芯片出厂时(未编程状态),所有浮栅管都处于截止状态。由于位线可通过V1接电源,位线处于高电平,故各个存储电路相当于存“1”。因此写数据的过程,实际上就是将相应的基本存储电路由“1”变“0”的过程。 写“0”时,可将FAMOS管V3的漏极D接上高于正常工作电压(+5V)的+25V电压,则漏、源极间的导电沟道会造成雪崩击穿,使浮栅极积累电荷,FAMOS管导通。由于浮栅极电荷无放电回路,因而FAMOS管总处于导通状态。当字线被选中时,字线变为高电平,使V2导通,由于V3也导通,故位线变为低电平,即该存储电路存“0”。 擦除数据

31、时,可用专用的紫外线灯照射EPROM的石英窗口,约20分钟即可将FAMOS管浮栅中的电荷消除,恢复到产品出厂时的全“1”状态,此后可再次写入信息。写好的芯片在正常使用时,要用黑胶带将石英窗口贴上,以防紫外线照射误擦信息。这种EPROM内的数据可保持10年以上。 EPROM的种类较多,如ATMEL公司的AT27BV010,存储容量1MB;AT27BV020,存储容量2MB;AT27BV4096,存储容量4MB。 EPROM的优点是可多次擦除、重新编程使用,缺点是只能整片擦除,不能按单元擦除,且擦除时需将芯片从线路板上取下,擦除后,再用专用编程器写入数据。8.2.4 电可擦除、可编程只读存储器EE

32、PROM EPROM虽然可多次擦除、重新编程使用,但芯片写入时,即使只写错一位,也必须将整个芯片擦掉重写。且擦除时需要专用紫外线灯照射,这在实际使用中是非常不方便的。而在实际使用中,往往只需要改写几个字节的内容即可。因此,多数情况下需要以字节为单位擦写。 EEPROM(Electrically Erasable Programmable Read-Only Memory)是近年来被广泛采用的一种可用电擦除、可编程的只读存储器。其主要特点是能进行在线读写、擦除、更改,不需要专用擦除设备,且擦除、读写速度比PROM快得多。它既能象RAM那样随机地进行读写,又能象ROM那样在断电的情况下保存数据,且

33、容量大、体积小,使用简单可靠。 EEPROM的擦除只需要厂商提供的专用刷新程序,就可轻而易举地改写内容,不必将资料全部删除才能写入,而且是以Byte为最小修改单位。EEPROM在写入数据时,仍要利用一定的编程电压,它属于双电压芯片。 借助EEPROM芯片的双电压特性,可广泛应用于计算机主板的BIOS ROM芯片,可使BIOS具有良好的防毒能力。在升级时,把跳线开关拨至“ON”的位置,即给芯片加上相应的编程电压,;平时使用时,则把跳线开关拨至“OFF”的位置,可防止CIH类的病毒对BIOS芯片的非法修改。至今仍有不少主板采用EEPROM作为BIOS芯片。 EEPROM除广泛应用于计算机主板外,还

34、广泛应用于手机、单片机、家用电器、汽车电子等众多领域。如现在的电视机遥控系统中用来存放频道信息的存储器AT24C04就是采用EEPROM,其存储容量达4KB。 EEPROM的种类较多,如ATMEL公司的AT24C04,存储容量4KB;AT24C08,存储容量8KB;AT24C1024,存储容量1024KB。8.2.5 闪速只读存储器Flash ROM Flash ROM是在EPROM和EEPROM技术基础上发展的一种新型半导体存储器。既有EPROM价格便宜、集成度高的优点,又有EEPROM的电可擦除、可重写性和非易失性。其擦除、重写速度快。传统的EEPROM芯片只能按字节擦除,而Flash R

35、OM每次可擦除一块或整个芯片。块的大小视生产厂商的不同而有所不同。Flash ROM的读和写操作都是在单电压下进行,属于真正的单电压芯片。 Flash ROM的工作速度大大快于传统EPROM芯片。一片1MB的闪速存储芯片,其擦除、重写时间小于5秒,比一般的EPROM要快得多。Flash ROM的存储容量普遍大于EPROM ,现在已做到1GB。寿命长,成品Flash ROM芯片可反复擦除百万次以上。数据保存时间至少20年。读取速度快,读取时间小于90nS。现在我们常用的优盘、MP3以及计算机内部的BIOS芯片、显示器的缓存都采用Flash ROM芯片。 Flash ROM的种类较多,如ATMEL

36、公司的AT29BV010A,存储容量1MB;AT29BV020,存储容量2MB;AT29BV040A,存储容量4MB。8.2.6 ROM应用举例 ROM广泛应用于计算机、电子仪器、电子测量设备和数控电路,其具体应用有专门的教材进行论述,这里仅介绍用ROM在数字逻辑电路中的应用。 分析ROM的工作原理可知,ROM中的地址译码器可产生地址变量的全部最小项,能够实现地址变量的与运算,即字线W与地址变量A0A1存在与逻辑关系,而ROM中的存储矩阵可实现有关最小项的或运算,即输出数据D与地址变量的有关最小项存在或逻辑关系。由于任何组合逻辑函数都可变换为标准与或表达式。因此,从理论上说,利用ROM可以实现

37、任何组合逻辑函数。 【例8.2.1】用ROM实现下列函数。 Y1=AB+BC , Y2= AB+BC 解:(1)将函数转化为标准与或式。 Y1=m(3,6,7) , Y2=m(1,4,5) (2)画出用ROM实现的逻辑阵列图8.2.6。8.3.4 RAM容量的扩展 1.字数的扩展 当一片RAM芯片的位数够用而字数不够用时,可以采取字扩展的方式。图8.3.6是采用2片Intel6116(2K8位)扩展成一个4 K8位RAM的接线图。 2.位数的扩展 当一片RAM的字数够用而位数不够用时,应采取位数扩展的方法。图8.3.7是采用2片2114(1K4位)扩展成一个1K8位的RAM的接线图。 从图中可

38、以看出,位数扩展的方法非常简单,只需将各片RAM的地址输入端、读写控制端、片选信号端分别并联即可。容量为2564 RAM的存储矩阵存储单元1024个存储单元排成32行32列的矩阵每根行选择线选择一行每根列选择线选择一个字列Y11,X21,位于X2和Y1交叉处的字单元可以进行读出或写入操作,而其余任何字单元都不会被选中。地址的选择通过地址译码器来实现。地址译码器由行译码器和列译码器组成。行、列译码器的输出即为行、列选择线,由它们共同确定欲选择的地址单元。2564 RAM存储矩阵中,256个字需要8位地址码A7A0。其中高3位A7A5用于列译码输入,低5位A4A0用于行译码输入。A7A0=0010

39、0010时,Y1=1、X2=1,选中X2和Y1交叉的字单元。000100 0 13.6.2 RAM容量的扩展位扩展将地址线、读写线和片选线对应地并联在一起输入输出(I/O)分开使用作为字的各个位线字扩展输入输出(I/O)线并联要增加的地址线A10A12与译码器的输入相连,译码器的输出分别接至8片RAM的片选控制端 地址译码器有n个输入端,有2n个输出信息,每个输出信息对应一个信息单元,而每个单元存放一个字,共有2n个字(W0、W1、W2n-1称为字线)。 每个字有m位,每位对应从D0、D1、Dm-1输出(称为位线)。 存储器的容量是2nm(字线位线)。 ROM中的存储体可以由二极管、三极管和M

40、OS管来实现。图8-5 二极管ROM图8-6 字的读出方法 在对应的存储单元内存入的是1还是0,是由接入或不接入相应的二极管来决定的。 存储矩阵为了便于表达和设计,通常将图8-5简化如图8-7 所示。 图8-7 44 ROM阵列图 有存储单元地址译码器图8-5 二极管ROM在编程前,存储矩阵中的全部存储单元的熔丝都是连通的,即每个单元存储的都是1。 用户可根据需要,借助一定的编程工具,将某些存储单元上的熔丝用大电流烧断,该单元存储的内容就变为0,此过程称为编程。 熔丝烧断后不能再接上,故PROM只能进行一次编程。 2可编程只读存储器(PROM) 图8-8 PROM的可编程存储单元3可擦可编程R

41、OM(EPROM) 最早出现的是用紫外线照射擦除的EPROM。 浮置栅MOS管(简称FAMOS管)的栅极被SiO2绝缘层隔离,呈浮置状态,故称浮置栅。 当浮置栅带负电荷时, FAMOS管处于导通状态,源极漏极可看成短路,所存信息是0。 若浮置栅上不带有电荷,则FAMOS管截止,源极漏极间可视为开路,所存信息是1。 图8- 浮置栅EPROM(a) 浮置栅MOS管的结构 (b) EPROM存储单元带负电-导通-存0不带电-截止-存1浮置栅EPROM出厂时,所有存储单元的FAMOS管浮置栅都不带电荷,FAMOS管处于截止状态。 写入信息时,在对应单元的漏极与衬底之间加足够高的反向电压,使漏极与衬底之

42、间的PN结产生击穿,雪崩击穿产生的高能电子堆积在浮置栅上,使FAMOS管导通。当去掉外加反向电压后,由于浮置栅上的电子没有放电回路能长期保存下来,在的环境温度下,以上的电荷能保存年以上。如果用紫外线照射FAMOS管分钟,浮置栅上积累的电子形成光电流而泄放,使导电沟道消失,FAMOS管又恢复为截止状态。为便于擦除,芯片的封装外壳装有透明的石英盖板。8.1.3 存储器的应用 2EPROM的应用 程序存储器、码制转换、字符发生器、波形发生器等。 例:八种波形发生器电路。 将一个周期的三角波等分为256份,取得每一点的函数值并按八位二进制进行编码,产生256字节的数据。用同样的方法还可得到锯齿波、正弦

43、波、阶梯波等不同的八种波形的数据,并将这八组数据共2048个字节写入2716当中。返回图8-13 八种波形发生器电路图 波形选择开关 256进制计数器 存八种波形的数据 经8位DAC转换成模拟电压。S3 S2 S1波 形A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A00 0 0正弦波000H0FFH0 0 1锯齿波100H1FFH0 1 0三角波200H2FFH1 1 1阶梯波700H7FFH表8-2 八种波形及存储器地址空间分配情况 S1、S2和S3:波形选择开关。两个16进制计数器在CP脉冲的作用下,从00HFFH不断作周期性的计数,则相应波形的编码数据便依次出现在数据线

44、D0D7上,经D/A转换后便可在输出端得到相应波形的模拟电压输出波形。 图8-14 三角波细分图 下面以三角波为例说明其实现方法。 三角波如图8-14所示,在图中取256个值来代表波形的变化情况。在水平方向的257个点顺序取值,按照二进制送入EPROM2716(2K8位)的地址端A0A7,地址译码器的输出为256个(最末一位既是此周期的结束,又是下一周期的开始)。由于2716是8位的,所以要将垂直方向的取值转换成8位二进制数。表8-3 三角波存储表 将这255个二进制数通过用户编程的方法,写入对应的存储单元,如表8-3所示。将2716的高三位地址A10A9A8取为0,则该三角波占用的地址空间为

45、000H0FFH,共256个。 8.1.4 其它类型存储器简介1. EEPROM用电气方法在线擦除和编程的只读存储器。 存储单元采用浮栅隧道氧化层MOS管。写入的数据在常温下至少可以保存十年,擦除/写入次数为万次 10万次。 2. 快闪存储器Flash Memory 采用与EPROM中的叠栅MOS管相似的结构,同时保留了EEPROM用隧道效应擦除的快捷特性。理论上属于ROM型存储器;功能上相当于RAM。 单片容量已达64MB,并正在开发256MB的快闪存储器。可重写编程的次数已达100万次。 返回由美国Dallas半导体公司推出,为封装一体化的电池后备供电的静态读写存储器。 它以高容量长寿命锂

46、电池为后备电源,在低功耗的SRAM芯片上加上可靠的数据保护电路所构成。 其性能和使用方法与SRAM一样,在断电情况下,所存储的信息可保存10年。 其缺点主要是体积稍大,价格较高。 此外,还有一种nvSRAM,不需电池作后备电源,它的非易失性是由其内部机理决定的。 已越来越多地取代EPROM,并广泛应用于通信设备、办公设备、医疗设备、工业控制等领域。 3. 非易失性静态读写存储器NVSRAM 表8-4 常见存储器规格型号 类型 容量SRAMEPROMEEPROMFLASHNVSRAM双口RAM2 K86116 27162816DS1213B7132/71364 K8 2732DS1213B8 K

47、86264 27642864DS1213B16 K8 2712832 K862256 272562825628F256DS1213D64 K8 275122851228F512128 K8628128 270102801028F010DS1213D256 K8628256 270202802028F020512 K8628512 270402804028F040DS16501 M 86281000 270802808028F080半导体存储器分类:按功能只读存储器(ROM)随机存取存储器(RAM)按元件双极型存储器:速度快,功耗大。MOS型存储器:速度较慢,功耗小, 集成度高。23.1 只读存

48、储器23.1.1 ROM的结构框图 只读存储器(ROM) ,它存储的信息是固定不变的。工作时,只能读出信息,不能随时写入信息。图23.1.1 ROM的结构框图存储输出读出电路存储矩阵地址译码器NM位线(数据线)字线(选择线)地址输入AN-1A1A0.W0W1WnN-1DM-1D0D1.表示存储容量ROM主要结构存储矩阵地址译码器 1.存储矩阵:由存储单元构成,一个存储单元存储一位二进制数码“1”或“0”。存储器是以字为单位进行存储的。图23.1.1中有NM个存储单元。 2.地址译码器:为了存取的方便,给每组存储单元以确定的标号,这个标号称为地址。图23.1.1中,W0WN-1称为字单元的地址选

49、择线,简称字线;地址译码器根据输入的代码从W0WN-1条字线中选择一条字线,确定与地址代码相对应的一组存储单元位置。被选中的一组存储单元中的各位数码经位线D0DM-1传送到数据输出端。23.1.2 ROM的工作原理图23.1.2二极管 ROM电路11A0A1字线位线读出电路地址译码器存储矩阵存储输出地址输入W0W1W2W3D0D1D2D3A1A0+UA0A1存“1”存“0” (1) 存储矩阵23.1.2 ROM的工作原理1. 二极管构成的ROM的工作原理图中的存储矩阵有四条字线和四条位线。共有十六个交叉点,每个交叉点都可看作一个存储单元。交叉点处接有二极管时,相当于存“1”;交叉点处没有接二极

50、管时,相当于存“0”;如:字线W0与位线有四个交叉点,其中与位线D0和D2交叉处接有二极管。当选中W0(为高电平)字线时,两个二极管导通,使位线D0和D2为“1”,这相当于接有二极管的交叉点存“1”。23.1.2 ROM的工作原理 交叉点处没有接二极管处,相当于存“0”;位线D1和D3为“0”,这相当于没接有二极管的交叉点存“0”。ROM的特点:存储单元存“0”还是存“1”是在设计和制造时已确定,不能改变;而且存入信息后,即使断开电源,所存信息也不会消失,所以ROM也称固定存储器。(2) 地址译码器 图中是一个二极管译码器,两位地址代码A1A0可指定四个不同的地址。23.1.2 ROM的工作原

51、理四个地址的逻辑式分别为:地址译码器特点:(1)N取一译码:即N条字线中,每次只能选中一条字线。图示电路为四选一译码。(2)最小项译码:n个地址输入变量A0An最小项的数目为N=2n。图示电路最小项为四个。地址译码器是一个“与”逻辑阵列23.1.2 ROM的工作原理表23.1.1 N取一译码及ROM存储内容地址码A0A10 00 11 01 1最小项及编号N取一译码存储内容W0W1W2W3D0D1D2D3m0m1m2m3 0 0 0 1 0 1 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 1 0 0 1 0 0 0 1 1 1 0从图23.1.2中可看出:地址译码器是一个“与”

52、逻辑阵列11A0A1字线位线读出电路地址译码器存储矩阵地址输入W0W1W2W3D0D1D2D3A1A0+UA0A123.1.2 ROM的工作原理“0”“0”0 0 0 1 导通 0 1 0 1导通23.1.2 ROM的工作原理存储矩阵是一个“或”逻辑阵列W3=A1A0m3m2W2=A1A0m1W1=A1A0m0W0=A1A0A0A1地址译码器D3D2D1D0图23.1.3 简化的 ROM存储矩阵阵列图有二极管无二极管2. 双极型晶体管和MOS场效应管构成的存储矩阵图23.1.4 双极型存储矩阵存“1”存“0”D3D2D1D0W2W1W0+UDDW32. 双极型晶体管和MOS场效应管构成的存储矩

53、阵 “1”“0”“0”“0”选中 1 1 0 1D3D2D1D0W2W1W0+UDDW3导通1D31D21D11D0W0W1W2W3负载管+UDD图23.1.5 MOS型存储矩阵“1”“0”“0”“0”选中 0 0 1 0 1 1 0 1导通1. ROM构成的全加器23.1.3 ROM的应用 在数字系统中ROM的应用十分广泛,如组合逻辑、波形变换、字符产生以及计算机的数据和程序存储等。输入变量A 加数B 加数C0 低位进位数输出变量S 本位和C0 向高位进位数23.1.3 ROM的应用A B C0十进制最小项被选中字线最小项编号位线SC 0 0 0 0 0 1 0 1 0 0 1 1 1 0

54、0 1 0 1 1 1 0 1 1 101234567ABC0ABC0ABAC0AC0BC0BC0ABBC0AC0BAW0=1W1=1W2=1W3=1W4=1W5=1W6=1W7=1m0m1m2m3m4m5m6m7 0 0 1 0 1 0 0 1 1 0 0 1 0 1 1 1 表23.1.2全加器逻辑状态及三变量最小项编码根据表23.1.2可得:WOm0W1m1W2m2W3m3W4m4W5m5W6m6W7m7SCABC最小项译码器图23.1.6 ROM构成的全加器3.ROM构成的字符发生器23.1.3 ROM的应用 字符发生器常用于显示终端、打印机及其其它一些数字装置。将各种字母、数字等字符

55、事先存储在ROM的存储矩阵中,再以适当的方式给出地址码,某个字符就能读出来,并驱动显示器显示。 下面用ROM构成的字符发生器显示字母R来说明其工作原理。图23.1.8 字符显示原理图(b)WOW1W2W3W4W5W6(a)000001010011100101110D4D3D2D1D0行译码器A2A1A0读出电路 由图可看出该字符显示器由7行5 列构成存储矩阵,将字母R的形状分割成若干部分并在相应的单元存入信息“1”。当地址输入由 000110周期地循环变化时, 即可逐行扫描各字线, 把字线W0 W7所存储的字母“R”的字形信息从位线D0 D4读出。使显示设备一行行的显示出图23.1.8(b)的

56、字形。23.2 随机存取存储器 随机存取存储器(RAM) ,它能随时从任何一个指定地址的存储单元中取出(读出)信息,也可随时将信息存入(写入)任何一个指定的地址单元中。因此也称为读/写存储器。优点:读/写方便缺点:信息容易丢失,一旦断电,所存储器的信息会随之消失,不利于数据的长期保存。地址输入An-1A0A1地址译码器存储矩阵数据线读写/控制电路读/写控制(R/W)片选(CS)输入/输出 I/O.23.2.1 RAM的结构和工作原理图23.2.1 RAM的结构框图1. 存储矩阵:由存储单元构成,一个存储单元存储一位二进制数码“1”或“0”。与ROM不同的是RAM存储单元的数据不是预先固定的,而

57、是取决于外部输入信息,其存储单元必须由具有记忆功能的电路构成。2. 地址译码器:也是N取一译码器。3. 读/写控制电路:当R/W=1时,执行读操作,R/W=0时,执行写操作。4. 片选控制:当CS=0时,选中该片RAM工作, CS=1时该片RAM不工作。1.RAM位数的扩展图23.2.3 RAM2114位数扩展将几片的地址端、R/W端、CS端并接在一起A9 A0 R/W CSRAM2114(1)I/O3I/O7I/O6I/O2IO/5I/O1I/O4I/O0A9 A0 R/W CSI/O3I/O2RAM2114(2)I/O1I/O0I/O0I/O3I/O2I/O1高四位低四位A9A0R/WCS

58、地址码1. RAM字数的扩展A11 A0十二根地址线,组成4096字4位的RAM图23.2.3 RAM2114字数扩展.RAM 21114(1)I/O(2)I/O(3)I/O(4)I/OA11A10A11A102/4线译码器R/WA0A9I/O3I/O2I/O1I/O0A11A10A11A10A11A10A9 A0R/W CSA9 A0R/W CSA9 A0R/W CSA9 A0R/W CS图23.2.3 RAM2114字数扩展.RAM 21114(1)I/O(2)I/O(3)I/O(4)I/OA11A10A11A102/4线译码器R/WA0A9I/O3I/O2I/O1I/O0A11A10A11A10A11A10A9 A0R/W CSA9 A0R/W CSA9 A0R/W CSA9 A0R/W CS00选中0 可编程逻辑器件(PLD)它是由用户自行定义功能(编程)的一类逻辑器件的总称。图23.3.

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论