数字电子技术课件组合逻辑电路实用教案_第1页
数字电子技术课件组合逻辑电路实用教案_第2页
数字电子技术课件组合逻辑电路实用教案_第3页
数字电子技术课件组合逻辑电路实用教案_第4页
数字电子技术课件组合逻辑电路实用教案_第5页
已阅读5页,还剩90页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、一、组合(zh)逻辑电路的特点= F0(I0 , I1, In - - 1)= F1(I0 , I1, In - - 1)= Fm-1(I0 , I1, In - - 1))( )(nntIFtY 1. 逻辑逻辑(lu j)功能特点功能特点 电路在任何时刻的输出状态(zhungti)(zhungti)只取决于该时刻的输入 状态(zhungti)(zhungti),而与原来的状态(zhungti)(zhungti)无关。2. 电路结构特点(1) 输出、输入之间没有反馈延迟电路(2) 不包含记忆性元件( (触发器) ),仅由门电路构成I0I1In-1Y0Y1Ym-1组合逻辑电路第1页/共95页第一

2、页,共95页。二、组合电路逻辑功能的表示(biosh)方法真值表,卡诺图,逻辑(lu j)表达式,时间图(波形图)三、组合(zh)电路分类 按逻辑功能不同:加法器 比较器 编码器 译码器 数据选择器和分配器 只读存储器 按开关元件不同:CMOS TTL 按集成度不同:SSI MSI LSI VLSI第2页/共95页第二页,共95页。3. 1 组合电路的分析方法和设计组合电路的分析方法和设计(shj)方法方法3. 1. 1 组合组合(zh)电路的基本分析方法电路的基本分析方法一、分析方法逻辑图逻辑(lu j)表达式化简真值表说明功能分析目的: 确定输入变量不同取值时功能是否满足要求; 得到输出函

3、数的标准与或表达式,以便用集成门电路 实现; 得到其功能的逻辑描述,以便用于包括该电路的系 统分析。 变换电路的结构形式( (如:与或 与非-与非);第3页/共95页第三页,共95页。二、分析二、分析(fnx)举例举例 例 分析图中所示电路(dinl)(dinl)的逻辑功能CABCBABCAABCY CBAABC CBAABC 表达式真值表A B CY0 0 00 0 10 1 00 1 1A B CY1 0 01 0 11 1 01 1 111000000功能(gngnng)判断输入信号极性是否相同的电路 符合电路YABC&1 解 第4页/共95页第四页,共95页。 例 3. 1.

4、1 3. 1. 1 分析图中所示电路的逻辑(lu j)(lu j)功能,输入信号A A、B B、C C、D D是一组二进制代码。&ABCDY 解 (1) 逐级写输出(shch)函数的逻辑表达式WXBABABAW CWCWCWX DXDXDXY 第5页/共95页第五页,共95页。 例 3. 1. 1 3. 1. 1 分析图中所示电路的逻辑功能,输入信号(xnho)A(xnho)A、B B、C C、D D是一组二进制代码。&ABCDYWX 解 (2) 化简ABCCBACBACBACWCWX BABABABABAW YX DXDAB C DABC DA BCDABCD A B CDA

5、BCDABCDABCD第6页/共95页第六页,共95页。 例 3. 1. 1 3. 1. 1 分析图中所示电路的逻辑(lu j)(lu j)功能,输入信号A A、B B、C C、D D是一组二进制代码。(3) 列真值表A B C DA B C DYY0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 11111111100000000(4) 功能(gngnng)说明:当输入(shr)四位代码中 1 的个数为奇数时输出为 1,为

6、偶数时输出为 0 检奇电路。 解 YAB C DABC DA BCDABCDA B CDABCDABCDABCD第7页/共95页第七页,共95页。3.1.2 组合组合(zh)电路的基本设计方法电路的基本设计方法一、设计一、设计(shj)方法方法逻辑(lu j)抽象列真值表写表达式化简或变换画逻辑图逻辑抽象: 根据因果关系确定输入、输出变量 状态赋值 用 0 和 1 表示信号的不同状态 根据功能要求列出真值表 根据所用元器件( (分立元件 或 集成芯片) )的情况将函数式进行化简或变换。化简或变换:第8页/共95页第八页,共95页。 设定(sh dn)变量:二、二、 设计设计(shj)举例举例

7、例 3. 1. 2 设计一个表决(bioju)电路,要求输出信号的电平与三个输入信号中的多数电平一致。 解 输入 A、B、C , 输出 Y 状态赋值:A、B、C = 0 表示 输入信号为低电平Y = 0 表示 输入信号中多数为低电平(1) 逻辑抽象A、B、C = 1 表示 输入信号为高电平Y = 1 表示 输入信号中多数为高电平第9页/共95页第九页,共95页。 例 3. 1. 2 设计一个(y )表决电路,要求输出信号的电平与三个输入信号中的多数电平一致。 解 列真值表(2)写输出(shch)表达式并化简ABCCABCBABCAY CABCBABC ABACBC 最简与或式最简与非-与非式A

8、BACBCY ABACBC ABCY0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 100010111二、二、 设计设计(shj)举例举例 例 3. 1. 2 设计一个表决电路,要求输出信号的电平与三个输入信号中的多数电平一致。第10页/共95页第十页,共95页。二、设计二、设计(shj)举例举例 例 3. 1. 2 设计一个表决电路,要求输出信号(xnho)的电平与三个输入信号(xnho)中的多数电平一致。 解 (3) 画逻辑图 用与门和或门实现(shxin)ABACBCY ABYC&ABBC1&AC 用与非门实现 ABACBC &第11

9、页/共95页第十一页,共95页。 例 设计一个监视交通信号灯工作状态的逻辑电路。正常情况(qngkung)(qngkung)下,红、黄、绿灯只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。 解 (1) (1)逻辑(lu j)(lu j)抽象输入(shr)变量:1 - 亮0 - 灭输出变量:R(红)Y(黄)G(绿)Z(有无故障)1 - 有0 - 无列真值表R Y GZ0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 110010111(2)卡诺图化简RYG010001111011111YGRGRYGYRZ 第12页/共95页第十二页,共95页。 例 设计

10、(shj)(shj)一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。 解 YGRGRYGYRZ (3) 画逻辑图&1&111RGYZ第13页/共95页第十三页,共95页。3.2 加法器和数值加法器和数值(shz)比较器比较器3.2.1 加法器一、半加器和全加器1. 半加器(Half Adder)两个(lin ) 1 (lin ) 1 位二进制数相加不考虑低位进位。iiBA iiCS 0 00 11 01 10 01 01 00 1iiiiiBABAS iiiBAC 真值表函数(hnsh)式BA Ai+Bi

11、 = Si (和) Ci (进位)第14页/共95页第十四页,共95页。逻辑图曾用符号国标符号半加器(Half Adder)Si&AiBi=1CiCOSiAiBiCiHASiAiBiCiiiiiiBABAS iiiBAC 函数式BA 第15页/共95页第十五页,共95页。2. 全加器(Full Adder)两个 1 1 位二进制数相加,考虑(kol)(kol)低位进位。 Ai + Bi + Ci -1 ( 低位进位低位进位(jnwi) ) = Si ( 和和 ) Ci ( 向高位进位向高位进位(jnwi) )1 0 1 1- A 1 1 1 0- B+- 低位进位低位进位(jnwi)1

12、00101111真值表1-1-1-1- iiiiiiiiiiiiiCBACBACBACBAS 1111- - - - - iiiiiiiiiiiiiCBACBACBACBAC标准与或式A B Ci-10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1SiCiA B Ci-1SiCi0 01 01 00 11 00 10 11 1- S高位进位0第16页/共95页第十六页,共95页。卡诺图全加器(Full Adder)ABC01000111101111SiABC01000111101111Ci圈 “ 0 ”1111 - - - - - iiiiiiiiiiiiiCB

13、ACBACBACBAS11- - - iiiiiiiCBCABAC1-1-1-1- iiiiiiiiiiiiiCBACBACBACBAS 11- - - iiiiiiiCBCABAC最简与或式圈 “ 1 ”第17页/共95页第十七页,共95页。逻辑图(a) 用与门、或门和非门(fi mn)实现曾用符号(fho)国标符号(fho)COCISiAiBiCi-1CiFASiAiBiCi-1Ci&1111AiSiCiBiCi-11第18页/共95页第十八页,共95页。(b) 用与或非门用与或非门(fi mn)和非门和非门(fi mn)实现实现1111 - - - - - iiiiiiiiiii

14、iiCBACBACBACBAS11- - - iiiiiiiCBCABAC&1&1111CiSiAiBiCi-1第19页/共95页第十九页,共95页。3. 集成集成(j chn)全加器全加器TTL:74LS183CMOS:C661双全加器VCC 2Ai2Bi 2Ci-1 2Ci 2Si VCC 2A 2B 2CIn 2COn+1 2F1A1B 1CIn1FGND1Ai1Bi1Ci-11Si地1Ci1 2 3 4 5 6 714 13 12 11 10 9 8VDD 2Ai2Bi 2Ci-1 1Ci 1Si 2Si 1Ci-1 2Ci 1Ai1Bi VSS 第20页/共95页第二

15、十页,共95页。二、加法器(Adder)实现(shxin)多位二进制数相加的电路1. 4 位串行进位位串行进位(jnwi)加法加法器器特点(tdin):电路简单,连接方便速度低 = 4 tpdtpd 1位全加器的平均 传输延迟时间 01230123BBBBBAAAAA C0S0B0A0C0-1COS SCIC1S1B1A1COS SCIC2S2B2A2COS SCIC3S3B3A3COS SCI第21页/共95页第二十一页,共95页。2. 超前进位(jnwi)加法器 作加法运算(yn sun)时,总进位信号由输入二进制数直接产生。1000000)(- - CBABAC011111)(CBABA

16、C 1000001111)()(- - CBABABABA特点(tdin)优点:速度快缺点:电路比较复杂应用举例8421 BCD 码 余 3 码1 )(- - iiiiiiCBABAC第22页/共95页第二十二页,共95页。逻辑(lu j)结构示意图集成(j chn)芯片CMOS:CC4008TTL:74283 74LS283超前进位电路 S3 S2 S1 S0C3A3B3A2B2A1B1A0B0C0-1CICICICI第23页/共95页第二十三页,共95页。3. 2. 2 数值数值(shz)比较器(比较器(Digital Comparator)一、1 位数值(shz)比较器0 00 11 0

17、1 10 1 00 0 11 0 00 1 0真值表函数(hnsh)式逻辑图 用与非门和非门实现Ai Bi Li Gi MiLi( A B )Gi( A = B )Mi( A BL = 1A = BM = 1A 1 00= 1 00= 1 00=1 00=0 10 0 01= 0 01= 0 01=0 01B = B3B2B1B0LGM4 4位数值比较器A3 B3 A2 B2 A1 B1 A0 B0第25页/共95页第二十五页,共95页。&1&1&1&1&1&1&1 1&1&1&1 1 MLGA2A1B3A3B2B

18、1B01 A0G = (A3 B3)(A2 B2) (A1 B1)(A0 B0)4 位数值(shz)比较器M = A3B3+ (A3 B3) A2B2 + (A3 B3)(A2 B2) A1 B1+ (A3 B3)(A2 B2)(A1 B1) A0B0L = M+G1 位数值(shz)比较器3M3G2M2G1M1G0M0GAiMiBiAi BiAiBiLiGiAiBi&1&1&第26页/共95页第二十六页,共95页。比比 较较 输输 入入级级 联联 输输 入入输输 出出A3B3A2B2A1B1A0B0ABFA B 001= 001= 001= 001=001001=01

19、0010=100100 100= 100 4 位集成(j chn)数值比较器的真值表级联输入(shr):供扩展使用,一般接低位芯片的比较输出,即 接低位芯片的 FA B 。第27页/共95页第二十七页,共95页。扩展(kuzhn):级联输入 集成(j chn)数值比较器 74LS85 (TTL) 两片 4 位数值(shz)比较器74LS85 AB74LS85 ABVCC A3 B2 A2 A1 B1 A0 B0B3 AB FAB FA=B FAB地1 2 3 4 5 6 7 816 15 14 13 12 11 10 97485 74LS85比较输出1 8 位数值比较器低位比较结果高位比较结果

20、 FAB FAB B7 A7 B6 A6 B5 A5 B4 A4 B3 A3 B2 A2 B1 A1 B0 A0 第28页/共95页第二十八页,共95页。CMOS 芯片设置 A B 只是(zhsh)为了电路对称,不起判断作用B7 A7 B6 A6 B5 A5 B4 A4 FAB CC14585 ABB3 A3 B2 A2 B1 A1 B0 A0 FAB CC14585 AB 集成数值(shz)比较器 CC15485(CMOS)扩展(kuzhn): 两片4 位 8 位VDDA3 B3 FAB FABA BA=BA1VSS1 2 3 4 5 6 7 816 15 14 13 12 11 10 9C

21、C14585 C6631低位比较结果高位比较结果1第29页/共95页第二十九页,共95页。3. 3 编码器和译码器编码器和译码器3. 3. 1 编码器(Encoder)编码(bin (bin m)m):用文字、符号或者数字(shz)(shz)表示特定对象的过程(用二进制代码表示不同事物)二进制编码器二十进制编码器分类(fn (fn li)li):普通编码器优先编码器2nn104或Y1I1Y2YmI2In代代码码输输出出信信息息输输入入编编 码码 器器 框框 图图第30页/共95页第三十页,共95页。一、二进制编码器用 n 位二进制代码对 N = 2n 个信号进行(jnxng)编码的电路1. 3

22、 位二进制编码器位二进制编码器(8 线线- 3 线线)编码(bin m)表函数(hnsh)式Y2 = I4 + I5 + I6 + I7Y1 = I2 + I3+ I6 + I7Y0 = I1 + I3+ I5 + I7输入输出 I0 I7 是一组互相排斥的输入变量,任何时刻只能有一个端输入有效信号。输 入输 出0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1Y2 Y1 Y0I0I1I2I3I4I5I6I73 位二进制编码器I0I1I6I7Y2Y1Y0I2I4I5I3第31页/共95页第三十一页,共95页。函数(hnsh)式逻辑图 用或门实现(shxin) 用与

23、非门实现(shxin)76542IIIIY 76321IIIIY 75310IIIIY 7654IIII 7632IIII 7531IIII Y0 Y1 Y2111I7 I6 I5 I4 I3I2 I1I0 &Y0 Y1 Y24567IIII23II01II第32页/共95页第三十二页,共95页。优先(yuxin)(yuxin)编码:允许几个信号同时输入,但只对优先级别最高的进行(jnxng)编码。优先顺序:I7 I0编码(bin m)表输输 入入输输 出出 I7 I6 I5 I4 I3 I2 I1 I0 Y2 Y1 Y0 1 1 1 1 0 1 1 1 0 0 0 1 1 0 1 0

24、 0 0 1 1 0 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 1 0 0 0函数式2. 3 位二进制优先编码器45672IIIIY 245345671 IIIIIIIIY 12463465670 IIIIIIIIIIY 第33页/共95页第三十三页,共95页。输入(shr)输出为原变量逻辑图输入(shr)输出为反变量Y2Y1Y0111&1111111111117I6I5I4I3I2I1I0I1112Y1Y0YI7I6I5I4I3I2I1I0第34页/共95页第三十四页,共95页。用 4

25、位二进制代码对 0 9 十个信号进行(jnxng)编码的电路。1. 8421 BCD 编码器2. 8421 BCD 优先(yuxin)编码器3. 集成(j chn) 10线 -4线优先编码器(74147 74LS147)三、几种常用编码1. 二-十进制编码8421 码 余 3 码 2421 码5211 码 余 3 循环码 右移循环码循环码(反射码或格雷码)ISO码ANSCII(ASCII)码二、二- -十进制编码器2. 其他二-十进制编码器I0I2I4I6I8I1I3I5I7I9Y0Y1Y2Y3第35页/共95页第三十五页,共95页。3.3.2 译码器(Decoder)编码的逆过程(guchn

26、g),将二进制代码翻译为原来的含义一、二进制译码器(Binary Decoder) 输入(shr) n 位二进制代码如: 2 线 4 线译码器3 线 8 线译码器4 线 16 线译码器A0Y0A1An-1Y1Ym-1二进制二进制译码器译码器输出(shch) m 个信号 m = 2n第36页/共95页第三十六页,共95页。1. 3位二进制译码器 ( 3 线 8 线)真值表函数(hnsh)式0127AAAY 0120AAAY 0121AAAY 0122AAAY 0123AAAY 0124AAAY 0125AAAY 0126AAAY A0Y0A1A2Y1Y73 位位二进制二进制译码器译码器012 A

27、AA01234567 YYYYYYYY0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1第37页/共95页第三十七页,共95页。3 线 - 8 线译码器逻辑图000 输出(shch)低电平有效工作(gngzu)原理:11111101&Y7&Y6&Y5&Y4&Y3&Y

28、2&Y1&Y0A2A2A1A1A0A0111111A2A1A000111110111010101111110111110111110011111011101111111101101101111111101111111第38页/共95页第三十八页,共95页。2. 集成(j chn) 3 线 8 线译码器 - 74LS138引脚排列(pili)图功能(gngnng)示意图321 SSS、输入选通控制端1S 0321 SS或或芯片禁止工作0 1321 SSS且且芯片正常工作VCC 地1324567816 15 14 13 12 11 10974LS138Y0 Y1 Y2 Y3 Y4

29、Y5 Y6 A0 A1 A2 S3 S2 S1 Y7 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 第39页/共95页第三十九页,共95页。3. 二进制译码器的级联两片3 线 8 线4 线-16 线Y0Y7Y8Y1574LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA 高位Y7 A0 A1 A2 A3 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA 低位Y7 1

30、0工作禁止有输出无输出 1禁止工作无输出有输出0 78 15第40页/共95页第四十页,共95页。三片 3 线- 8 线5 线 - 24 线34 AA(1)()(2)()(3)输 出工 禁 禁70YY禁 工 禁158YY禁 禁 工2316YY0 00 11 01 1禁 禁 禁全为 174LS138 (1)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA Y0Y7 Y774LS138 (3)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA Y16Y7 Y2374LS138 (2)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A

31、1 A2 STB STC STA Y8Y7 Y15A0A1A2A3A41第41页/共95页第四十一页,共95页。功能(gngnng)特点:输出(shch)端提供全部最小项电路(dinl)特点:与门( (原变量输出) )与非门( (反变量输出) )4. 二进制译码器的主要特点二、二-十进制译码器(Binary-Coded Decimal Decoder)将 BCD 码翻译成对应的十个输出信号集成 4 线 10 线译码器:7442 74LS42第42页/共95页第四十二页,共95页。半导体显示(xinsh)(LED)液晶显示(LCD)共阳极(yngj)每字段是一只发光(f un)二极管三、显示译码

32、器数码显示器aebcfgdabcdefgR+ 5 VYaA3A2A1A0+VCC+VCC显示显示译码器译码器共阳共阳YbYcYdYeYfYg00000000001000100101001111001001000110100010101100000110100110001001000100000 低电平驱动011100011111000000000010010000100第43页/共95页第四十三页,共95页。共阴极(ynj)abcdefgR+5 VYaA3A2A1A0+VCC显示显示译码器译码器共阴共阴YbYcYdYeYfYg 高电平驱动(q dn)0000111111000010010011

33、0000110110100110100010101100111100010011111001011001110110111011111111000011111111111011aebcfgd第44页/共95页第四十四页,共95页。驱动(q dn)共阴极数码管的电路 输出(shch)高电平有效YaYbYcYdYeYfYgA3A2A1A011111111111111111111111第45页/共95页第四十五页,共95页。驱动共阳极(yngj)数码管的电路A3A2A1A0YaYbYcYdYeYfYg 输出(shch)低电平有效&1&111&1第46页/共95页第四十六页,共

34、95页。数据传输方式0110发送0110并行(bngxng)(bngxng)传送0110串行传送(chun (chun sn)sn)并- -串转换(zhunhun)(zhunhun):数据选择器串- -并转换:数据分配器3. 4 数据选择器和分配器数据选择器和分配器接收0110 在发送端和接收端不需要数据 并-串 或 串-并 转换装置,但每位数据各占一条传输线,当传送数据位数增多时,成本较高,且很难实现。第47页/共95页第四十七页,共95页。3. 4. 1 数据(shj)选择器 ( Data Selector )能够从多路数据输入中选择(xunz)(xunz)一路作为输出的电路一、4 选 1

35、 数据(shj)选择器输入数据输出数据选择控制信号A0Y4选选1数据选择器数据选择器D0D3D1D2A11. 逻辑抽象0 0 0 1 1 0 1 1 D0D1D2D3D0 0 0D0D A1 A0 真值表D1 0 1D2 1 0D3 1 1Y D1D2D32. 逻辑表达式 013012011010AADAADAADAADY 第48页/共95页第四十八页,共95页。一、4 选 1 数据(shj)选择器2. 逻辑(lu j)表达式 013012011010AADAADAADAADY 3. 逻辑图33221100 DmDmDmDm 1&11YA11A0D0D1D2D30 0 0 1 1 0

36、1 1 = D0= D1= D2= D3第49页/共95页第四十九页,共95页。 二、集成(j chn)(j chn)数据选择器1. 8 选 1 数据(shj)选择器74151 74LS151 74251 74LS251引脚排列图功能示意图选通控制端选通控制端 SVCC 地1324567816 15 14 13 12 11 10 974LS151D4 D5 D6 D7 A0 A1 A2 D3 D2 D1 D0 Y Y SMUXD7A2D0A0A1SYY禁止(jnzh)使能1 0 0 0 0D0 D0 D1 D1 D2 D2 D3 D3 D4 D4 D5 D5 D6 D6 D7 D7 0 0 1

37、0 1 00 1 11 0 01 0 11 1 01 1 11 0 A2 A0 地址端D7 D0 数据输入端数据输出端数据输出端、 YY1 0 YY012701210120AAADAAADAAADY ,选择器被禁止,选择器被禁止时时当当 1 S),选择器被选中(使能,选择器被选中(使能时时当当 0 S第50页/共95页第五十页,共95页。2. 集成数据(shj)选择器的扩展两片 8 选 1(74151)16 选 1数据(shj)选择器A2 A1 A0 A3 D15 D81Y1S74151 (2)D7A2D0ENA0A1YY2D7 D074151 (1)D7A2D0ENA0A1SYY1低位高位(

38、o wi)0 禁止使能0 70 D0 D7 D0 D7 1 使能禁止D8 D15 0 D8 D15 第51页/共95页第五十一页,共95页。0 四片 8 选 1(74151)32 选 1 数据(shj)选择器1/2 74LS139SA4A3A2A1A0&Y方法(fngf) 1:74LS139 双 2 线 - 4 线译码器74151 (4)D7A2D0ENA0A1S4Y374151 (1)D7A2D0ENA0A1D0S1Y074151 (2)D7A2D0ENA0A1S2Y174151 (3)D7A2D0ENA0A1S3Y2D7D8D15D16D23D24D311 1 1 1 1 0 7禁

39、止 禁止 禁止 禁止 0 0 01 1 1 0 禁止 禁止 禁止 使能 0 1禁止 禁止 使能 禁止 禁止 使能 禁止 禁止 使能 禁止 禁止 禁止 1 01 1D0 D7 D8 D15 D16 D23 D24 D311 1 0 1 1 0 1 1 0 1 1 1 第52页/共95页第五十二页,共95页。方法(fngf) 2:74LS153 双 4 选 1 数据(shj)选择器34 AA(1) (2) (3) (4)输出信号0 0工 禁 禁 禁70 DD0 1禁 工 禁 禁158 DD1 0禁 禁 工 禁2316 DD1 1禁 禁 禁 工3124 DD译译码码器器输输出出00 Y01 Y02

40、Y03 Y方法(fngf) 1:四片 8 选 1(74151)32 选 1 数据选择器四路 8 位并行数据四片8选1四路 1 位串行数据一片4选1一路 1 位串行数据(电路略)真值表(使用 74LS139 双 2 线 - 4 线译码器)第53页/共95页第五十三页,共95页。3. 4. 2 数据数据(shj)分配器分配器 ( Data Demultiplexer )将 1 路输入数据,根据需要分别传送(chun sn)到 m 个输出端一、1 路- 4 路数据(shj)分配器数据输入数据输出选择控制0 00 11 01 11A0A3210 YYYYD 0 0 00 D 0 00 0 D 00 0

41、 0 D01AAD 01AAD 01AAD 01AAD &Y0&Y1&Y2&Y31A01A1DDA01 路路-4 路路数据分配器数据分配器Y0Y3Y1Y2A1真值表函数式逻辑图第54页/共95页第五十四页,共95页。二、集成(j chn)(j chn)数据分配器用 3 线-8 线译码器可实现(shxin) 1 路-8 路数据分配器数据(shj)(shj)输出 S1 数据输入(D) 32使能控制端使能控制端、 SS)数据输出(数据输出( 70DYY地址码 数据输入( (任选一路) )。实实现现数数据据分分配配器器的的功功能能时时 , 032 SSS2 数据输入(D

42、)数据输出(数据输出( 70DYY 21使使能能控控制制端端、 SS。实实现现数数据据分分配配器器的的功功能能时时 , 0 , 121 SS74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 第55页/共95页第五十五页,共95页。3. 5 用用 MSI 实现组合逻辑实现组合逻辑(lu j)函数函数3. 5. 1 用数据选择器实现组合(zh)逻辑函数一、基本原理和步骤(bzhu)(bzhu)1. 原理:选择器输出为标准与或式,含地址变量的全部最小项。例如 而任

43、何组合逻辑函数都可以表示成为最小项之和的形式,故可用数据选择器实现。013012011010AADAADAADAADY 01270120AAADAAADY 4 选 18 选 1第56页/共95页第五十六页,共95页。2. 基本(jbn)步骤(1) 根据 n = k - 1 确定(qudng)数据选择器的规模和型号(n 选择器地址码,k 函数(hnsh)的变量个数)(2) 写出函数的标准与或式和选择器输出信号表达式(3) 对照比较确定选择器各个输入变量的表达式 (4) 根据采用的数据选择器和求出的表达式画出连线图。第57页/共95页第五十七页,共95页。二、应用(yngyng)(yngyng)举

44、例 例 3.5.1 用数据选择器实现(shxin)(shxin)函数 解 (2) 标准(biozhn)与或式ABCCABCBABCAF ACBCABF (1) n = k - -1 = 3 - -1 = 2 可用 4 选 1 数据选择器 74LS153数据选择器013012011010AADAADAADAADY (3) 确定输入变量和地址码的对应关系令 A1 = A, A0 = B01 BAABCBACBAF则 D0 = 0 D1 =D2 = C D3 = 1方法一:ABDBADBADBADY3210 FA BY1/2 74LS153D3D2D1D0A1A0ST1C(4) 画连线图第58页/共

45、95页第五十八页,共95页。方法(fngf)二:FB CY1/2 74LS153D3D2D1D0A1A0ST1A令 A1 = B, A0 = C二、应用(yngyng)(yngyng)举例 例 3.5.1 用数据选择器实现(shxin)(shxin)函数 解 ACBCABF BCDCBDCBDCBD3210 013012011010AADAADAADAADY BCAABCACBCABF 10 BCACBACBCB则 D0 = 0 D1 =D2 = A D3 = 1画连线图第59页/共95页第五十九页,共95页。例 用数据(shj)选择器实现函数 mZ148,9,10,12,3,4,5,6,7,

46、 解 (2) 函数(hnsh) Z 的标准与或式DABCDCABDCBADCBADCBA BCDADBCADCBADCBACDBAZ 8 选 1012701210120AAADAAADAAADY (3) 确定输入变量确定输入变量(binling)和地址码的对应和地址码的对应关系关系(1) n = k-1 = 4-1 = 3若令A2 = A, A1= B, A0= C(4) 画连线图则D2=D3 =D4 =1D0= 0用 8 选 1 数据选择器 74LS151ZA B C1DD1D1=DDmDmDmmmmDmZ 7654321 11100 mDDDD 765Y 74LS151D7D6D5D4D3

47、D2D1D0A2A1A0S第60页/共95页第六十页,共95页。3. 5. 2 用二进制译码器实现(shxin)组合逻辑函数一、基本原理与步骤(bzhu)1. 基本原理:二进制译码器又叫变量译码器或最小项译码器,它的输出端提供(tgng)了其输入变量的全部最小项。0127AAAY 0120AAAY 0121AAAY 0, 1321 SSS0m 1m 7m 任何一个函数都可以写成最小项之和的形式74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 第61页/共95

48、页第六十一页,共95页。2. 基本(jbn)步骤(1) 选择(xunz)集成二进制译码器(2) 写函数(hnsh)的标准与非-与非式(3) 确认变量和输入关系例 用集成译码器实现函数ACBCABZ (1) 三个输入变量,选 3 线 8 线译码器 74LS138(2) 函数的标准与非-与非式CBABCACABABCZ 7653mmmm 7653mmmm (4) 画连线图 解 二、应用举例第62页/共95页第六十二页,共95页。(4) 画连线(lin xin)图(3) 确认变量和输入(shr)关系CABAAA 012 令7653YYYYZ 解 CBABCACABABCZ 7653mmmm 则74L

49、S138Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA &ZABC1在输出(shch)端需增加一个与非门 例 用集成译码器实现函数ACBCABZ 选 3 线 8 线译码器 74LS138第63页/共95页第六十三页,共95页。例 3. 5. 2 试用(shyng)集成译码器设计一个全加器。(1) 选择(xunz)译码器: 解 COCISiAiBiCi-1Ci全加器的符号(fho)如图所示选 3 线 8 线译码器 74LS138(2) 写出函数的标准与非-与非式1-1-1-1- iiiiiiiiiiiiiCBACBACBACBAS 7421mmm

50、m 7421mmmm 11- - - iiiiiiiCBCABAC1111- - - - - iiiiiiiiiiiiCBACBACBACBA7653mmmm 7653mmmm 第64页/共95页第六十四页,共95页。例 3. 5. 2 试用(shyng)集成译码器设计一个全加器。 解 COCISiAiBiCi-1Ci(2) 函数(hnsh)的标准与非-与非式选 3 线 8 线译码器 74LS13874LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA 17421mmmmSi 7653mmmmCi (3) 确认(qurn)表达式1012 - -

51、iiiCABAAAAiBiCi-17421YYYYSi 7653YYYYCi (4) 画连线图&Ci&Si第65页/共95页第六十五页,共95页。3.7 组合电路中的竞争组合电路中的竞争(jngzhng)冒险冒险3.7.1 竞争冒险的概念及其产生竞争冒险的概念及其产生(chnshng)原因原因一、竞争冒险(mo xin)的概念 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号 过渡干扰脉冲的现象,叫做竞争冒险。二、产生竞争冒险的原因1. 原因分析&ABY0110ABY 信号 A、B 不可能突变,需要经历一段极短的过渡时间。而门电路的传输时间也各不相同,故当

52、A、B同时改变状态时可能在输出端产生虚假信号。第66页/共95页第六十六页,共95页。2. 电路(dinl)举例&Y3&Y1&Y2&Y0A1B1A 2 位二进制译码器B)(AB)(BA)( BA)(BA 假设(jish)信号 A 的变化规律如表中所示A B0 00 11 01 1BA BAAB1 11 00 10 010000001产生干扰脉冲(michng)的时间:10 01 :、BA01 10 :、BA第67页/共95页第六十七页,共95页。3.7.2 消除竞争消除竞争(jngzhng)冒险的方法冒险的方法一、引入封锁(fn su)脉冲&Y3&

53、;Y1&Y2&Y0A1B1P1ABABABP1二、引入选通脉冲(michng)P2P2存在的问题:对封锁脉冲和选通脉冲的宽度和产生时间有严格的要求。三、接入滤波电容CfCf导致输出波形的边沿变坏。第68页/共95页第六十八页,共95页。四、修改(xigi)逻辑设计增加冗余项3.7.2 消除消除(xioch)竞争冒险的方法竞争冒险的方法&ABCAG1G2G4G3Y&G5ABC010001 11 1011100100CAABY 例如(lr):BCCAABY CAABBC由于修改设计方案得当,收到了较好的效果。第69页/共95页第六十九页,共95页。 组合逻辑电路是由

54、各种门电路组成的没有记忆(jy)功能的电路。它的特点是任一时刻的输出信号只取决于该时刻的输入信号,而与电路原来所处的状态无关。逻辑图逻辑表达式化简真值表说明功能逻辑抽象列真值表写表达式化简或变换画逻辑图第70页/共95页第七十页,共95页。 练习 写出图中所示电路(dinl)(dinl)的逻辑表达式,说明其功能ABY1111 解 1. 逐级写出输出(shch)逻辑表达式BA BAA BAB BABBAAY 2. 化简)(BABBAAY BAAB 3. 列真值表BA Y0 00 11 01 110014. 功能(gngnng) 输入信号相同时输出为1,否则为0 同或。第71页/共95页第七十一页

55、,共95页。1. 加法器:实现两组多位二进制数相加的电路。根据进位(jnwi)方式不同,可分为串行进位(jnwi)加法器和超前进位(jnwi)加法器。2. 数值(shz)比较器:比较两组多位二进制数大小的电路。集成芯片:74LS183(TTL)、C661(CMOS) 双全加器两片双全加器(如74LS183) 四位串行进位加法器74283、74LS283(TTL)CC4008(CMOS) 四位二进制超前进位加法器集成芯片:7485、74L 85(TTL)CC14585、C663(CMOS) 四位数值比较器第72页/共95页第七十二页,共95页。3. 编码器:将输入(shr)的电平信号编成二进制代

56、码的电路。主要包括二进制编码器、二 十进制编码器和优先编码器等。4. 译码器:将输入的二进制代码译成相应(xingyng)的电平信号。主要包括二进制译码器、二 十进制译码器和显示译码器等。集成(j chn)芯片:74148、74LS148、74LS348(TTL) 8 线 3 线优先编码器74147、74LS147(TTL) 10 线 4 线优先编码器集成芯片:74LS138(TTL) 3线 8线译码器(二进制译码器)7442、74LS42(TTL) 4线 10线译码器74247、74LS247(TTL) 共阳极显示译码器7448、74248、7449、74249等(TTL) 共阴极显示译码器

57、第73页/共95页第七十三页,共95页。5. 数据(shj)选择器:在地址码的控制下,在同一时间内从多路输入(shr)信号中选择相应的一路信号输出的电路。常用于数据传输中的并-串转换。集成(j chn)芯片:74151、74LS15174251、74LS251(TTL) 8 选 1 数据选择器6. 数据分配器:在地址码的控制下,将一路输入信号传送到多个输出端的任何一个输出端的电路。常用于数据传输中的串-并转换。集成芯片:无专用芯片,可用二进制集成译码器实现。第74页/共95页第七十四页,共95页。练习 用二 - 十进制编码器、译码器、发光二极管七段显示(xinsh)器,组成一个 1 数码显示(

58、xinsh)电路。当 0 9 十个输入端中某一个接地时,显示(xinsh)相应数码。选择合适的器件,画出连线图。YaA3A2A1A0+VCC74LS48显示显示译码器译码器YbYcYdYeYfYg共阴共阴 解 1111+VCCY3Y2Y1Y074LS14710线线-4线线编码器编码器I0I1I9+VCCS0S1S9第75页/共95页第七十五页,共95页。1. 数据(shj)选择器:为多输入单输出的组合逻辑(lu j)电路,在输入数据都为 1 时,它的输出表达式为地址变量的全部最小项之和,适用于实现单输出组合逻辑(lu j)函数。2. 二进制译码器:输出端提供了输入变量的全部最小项,而且每一个输

59、出端对应一个最小项,因此,二进制译码器辅以门电路(与非门)后,适合用于实现单输出或多输出的组合逻辑函数。第76页/共95页第七十六页,共95页。1. 功能(gngnng):用于存放固定不变的数据,存储内容(nirng)不能随 意改写。工作时,只能根据地址码读出数据。2. 特点(tdin):工作可靠,断电后,数据不会丢失。3. 分类:固定 ROM(掩模 ROM)和可编程 ROM(PROM) 包括 EPROM(电写入紫外线擦除)和 E2PROM(电写入电擦除)。PROM都要用专用的编程器对芯片进行编程。 当门电路的两个输入信号同时向相反方向变化时,输出端可能出现干扰脉冲。消除方法:加封锁脉冲、加选

60、通脉冲、接滤波电容、修改逻辑设计等。第77页/共95页第七十七页,共95页。 (取 Y=“1”( 或Y=“0” ) 列逻辑(lu j)式取 Y = “1”对应于Y=1, 0 0 0 0 C 0 0 1 10 1 0 10 1 1 01 0 0 11 0 1 01 1 0 01 1 1 1第78页/共95页第七十八页,共95页。CBACBACBACBAYABCCBACBACBAY BCACBACBACBAABC001001 11 101111 0 0 0 0 C 0 0 1 10 1 0 10 1 1 01 0 0 11 0 1 01 1 0 01 1 1 1第79页/共95页第七十九页,共95页。YCBA01100111110&1010第80页/共95页第八十页,共95页。3.6 只读存储器只读存储器(ROM)分类(fn (fn li)li)掩模 ROM可编程 ROM(PROM Programmable ROM)可擦除可编程 ROM(EPROM Erasable PROM)说明(shumn(shumng):g):掩模 ROMPROM生产过程中在掩模板(mbn)(mbn)控制下写入,内容固定,不能更改内容可由用

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论