数字逻辑电路设计(第二版鲍可进)_第1页
数字逻辑电路设计(第二版鲍可进)_第2页
数字逻辑电路设计(第二版鲍可进)_第3页
数字逻辑电路设计(第二版鲍可进)_第4页
数字逻辑电路设计(第二版鲍可进)_第5页
已阅读5页,还剩77页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、作业点评作业点评 习题习题1v2、将下列二进制数转换成十进制数、八进制数和十六进、将下列二进制数转换成十进制数、八进制数和十六进制数。制数。v解:解: (1) (3) (5)210816 (1101)(13)(15)(D)210816 (0.101)(0.625)(0.5)(0.A)210816 (10101.11)(21.75)(25.6)(15.C)作业点评作业点评 习题习题1v3、将下列十进制数转换成二进制数、八进制数和十六进、将下列十进制数转换成二进制数、八进制数和十六进制数。制数。v解:解: (1) (3) (5)102816 (27)(11011)(33)(1B)102816 (0

2、.375)(0.011)(0.3)(0.6)102816 (174.25)(10101110.01)(256.2)(AE.4)作业点评作业点评 习题习题1v4、进行下列数制的转换、进行下列数制的转换 v解:解: (3) (4)v5 、写出下列各数的原码、反码和补码:、写出下列各数的原码、反码和补码:v解解:(略):(略) 816 (65634)(6B9C)34 (121.02)(100.032)作业点评作业点评 习题习题1v6、已知下列机器数,写出它们的真值。、已知下列机器数,写出它们的真值。v解:解: X1原原=11011,X1= -1011 X2反反=11011,X2= -0100 X3补

3、补=11011,X3= -0101 X4补补=10000。X4= -10000 作业点评作业点评 习题习题1v1.7 完成下列代码之间转换:完成下列代码之间转换: (1) (0001100110010001.0111)BCD=(1991.7 )10; (2) (137.9)10=( 0100 0110 1010.1100)余余3 (3) (1011001110010111)余余3=( 1000 0000 0110 0100 )BCD。v1.8 将下列将下列BCD码转换成十进制数和二进制数:码转换成十进制数和二进制数: (1) (011010000011)BCD =(683)10=(101010

4、1011)2 (2) (01000101.1001)BCD =(45.9)10=(101101.1110)2v1.9试写出下列二进制数的典型试写出下列二进制数的典型Gray码:码: (1) (111000)Gray=100100 (2) (10101010)Gray=11111111作业点评作业点评 习题习题2v3、下图所示电路,试问输入信号、下图所示电路,试问输入信号A、B、C不同组合时,电不同组合时,电路中路中P点和输出端点和输出端F的状态。的状态。v解:解: 当当C=1时,三态门输出(时,三态门输出(P点)为高阻状态。从点)为高阻状态。从TTL与非与非门电路可知,输入为高阻态(等同于悬空

5、)时,相当于门电路可知,输入为高阻态(等同于悬空)时,相当于输入为高电平。输入为高电平。 C=0时,时, C=1时时, 根据表达式,列出真值表即可根据表达式,列出真值表即可(列真值表时请按二进制顺序表)(列真值表时请按二进制顺序表)FA PA ABABFA作业点评作业点评 习题习题2v4、分别列出、分别列出3输入异或输入异或F= 和和3输入同或输入同或F=A B C的真值表。的真值表。v解:根据异或和同或运算的关系列表如下解:根据异或和同或运算的关系列表如下 ABCABCA B C0000000111010110110010011101001100011111ABC()()()ABCAB CA

6、B CAB CAB CABC作业点评作业点评 习题习题2v8、写出图、写出图2-50中各电路输出与输入之间的逻辑表达式,中各电路输出与输入之间的逻辑表达式,所有门电路都是所有门电路都是CMOS电路。电路。v解:解: 参考参考P29图图2-18 ,二极管与门,可知:,二极管与门,可知:1FABCDE作业点评作业点评 习题习题22FABCDE作业点评作业点评 习题习题2 同样,根据二极管与门、或门电路,可知:同样,根据二极管与门、或门电路,可知:3FABCDEF4FABC DEF作业点评作业点评 习题习题2v9、写出下图所示电路输出端的逻辑表达式。、写出下图所示电路输出端的逻辑表达式。v解:本题中

7、集电极开路的解:本题中集电极开路的OC门实现线与功能和电平转换门实现线与功能和电平转换的功能。的功能。 FAB CD作业点评作业点评 习题习题3v2 (1) (2)()()()()()()()()()()=FABCAB ABCABCABCABAB ABAB ABAB交换律常见公式其他方法?其他方法?作业点评作业点评 习题习题3v3、将下列函数转换为由、将下列函数转换为由“标准积之和标准积之和”及及“标准和之积标准和之积”形式表示的函数形式表示的函数 代数法(公式法)代数法(公式法) 表格法(真值表)表格法(真值表) 1、F=m2+ m3+ m5+ m6+ m7=m(2,3,5,6,7) = M

8、(0,1,4) 3、F=M(0,1,2,3,4,5,6,7) =m()()=0作业点评作业点评 习题习题3v4、用卡诺图化简法求出下列逻辑函数的最简、用卡诺图化简法求出下列逻辑函数的最简“与或与或”表表达式和最简达式和最简“或与或与”表达式表达式 (1)F(A,B,C,D)F(A,B,C,D)其它解法?其它解法?作业点评作业点评 习题习题3 (3)作业点评作业点评 习题习题3v5、用卡诺图化简法求下列逻辑函数的最简、用卡诺图化简法求下列逻辑函数的最简“与或与或”表达表达式式 (4)其它解法?其它解法?作业点评作业点评 习题习题3 (5)其它解法?其它解法?作业点评作业点评 习题习题3v10、分

9、析图示、分析图示求补电路求补电路。要求写出输出函数表达式,列出。要求写出输出函数表达式,列出真值表真值表 。 验证性分析题验证性分析题 求补概念(第一章)求补概念(第一章) 注意高低位顺序注意高低位顺序作业点评作业点评 习题习题3v11、图示为两种十进制代码的转换器,输入为余、图示为两种十进制代码的转换器,输入为余3码,分码,分析输出是什么代码析输出是什么代码 。 列出真值表可知输出为列出真值表可知输出为8421BCD码码作业点评作业点评 习题习题3v12 、分析图、分析图3-58所示的组合逻辑电路,假定输入是一位十进所示的组合逻辑电路,假定输入是一位十进制数的制数的8421码,试说明该电路的

10、功能。码,试说明该电路的功能。v解:由电路图直接写出输出表达式:解:由电路图直接写出输出表达式: F=A+BC+BD 作业点评作业点评 习题习题3v 表达式:表达式:F=A+BC+BDv真值表如下表所列,由真值表可知该电路实现的功能是:判断输入的十真值表如下表所列,由真值表可知该电路实现的功能是:判断输入的十进制数是否对于或等于进制数是否对于或等于5,可以实现,可以实现4舍舍5入功能。入功能。ABCDFABCDF00000100010001010011001001010d001101011d010001100d010111101d011011110d011111111d作业点评作业点评 习题习

11、题3v13、 图图3-59是一个受是一个受M控制的控制的4位二进制自然码和位二进制自然码和Gray码相码相互转换的电路。互转换的电路。M=1时,完成二进制自然码至时,完成二进制自然码至Gray码的转换;码的转换;当当M=0时,完成相反的转换。请说明之。时,完成相反的转换。请说明之。 作业点评作业点评 习题习题3v解:由电路图直接写出输出表达式:解:由电路图直接写出输出表达式:v当当M=1时,输出表达式为:时,输出表达式为: Y3=X3,Y2=X3 X2 ,Y1= X2 X1,Y0=X1 X0v当当M=0时,输出表达式为:时,输出表达式为: Y3=X3,Y2=X3 X2 Y1= X3 X2 X1

12、,Y0= X3 X2 X1 X0v可见,当可见,当M=1时电路确实能完成二进制自然码至时电路确实能完成二进制自然码至Gray码的转码的转换;当换;当M=0时,完成相反的转换。时,完成相反的转换。作业点评作业点评 习题习题3v14 分析图分析图3-60 所示的组合逻辑电路,回答以下问题:所示的组合逻辑电路,回答以下问题: 假定电路的输入变量假定电路的输入变量A,B,C和输出函数和输出函数F,G均代表均代表1位二位二进制数,请问该电路实现什么功能?进制数,请问该电路实现什么功能? 若将图中虚线框内的反向器去掉,即令若将图中虚线框内的反向器去掉,即令X点和点和Y点直接点直接相连,请问该电路实现什么功

13、能?相连,请问该电路实现什么功能? 若将图中虚线框内的反向器改为异或门,异或门的另若将图中虚线框内的反向器改为异或门,异或门的另一个输入端与输入控制变量一个输入端与输入控制变量M相连,请问该电路实现什相连,请问该电路实现什么功能?么功能? 作业点评作业点评 习题习题3v解:由电路图直接写出输出表达式:解:由电路图直接写出输出表达式: F=A B C、G= B + C+BCv(1) 列出真值表如下表所示。列出真值表如下表所示。全减器全减器AA作业点评作业点评 习题习题3v(2)若将图中虚线框内的反向器去掉,即令)若将图中虚线框内的反向器去掉,即令X点和点和Y点直点直接相连,则函数表达式变为:接相

14、连,则函数表达式变为: F=A B C、G=AB +AC+BCv列出真值表如下表所示。列出真值表如下表所示。全加器全加器作业点评作业点评 习题习题3v(3)若将图中虚线框内的反向器改为异或门,异或门的另一个输入)若将图中虚线框内的反向器改为异或门,异或门的另一个输入端与输入控制变量端与输入控制变量M相连,则函数表达式变为:相连,则函数表达式变为: F=A B C、G=(A M)B +(A M)C+BC 当当M=0时,表达式为时,表达式为 F=A B C、G=AB +AC+BC 可见,此时与(可见,此时与(2)相同,实现全加器的功能。)相同,实现全加器的功能。 当当M=1时,表达式为时,表达式为

15、 F=A B C、G= B + C+BC 可见,此时与(可见,此时与(1)相同,实现全减器的功能。)相同,实现全减器的功能。v因此(因此(3)的功能是实现可控的全加、全减器功能,控制变量)的功能是实现可控的全加、全减器功能,控制变量M=0时时为全加器,为全加器,M=1时为全减器。时为全减器。 AA作业点评作业点评 习题习题3v3.16 设设A,B,C为某密码锁的为某密码锁的3个按键,当个按键,当A键单独按下时,键单独按下时,锁既不打开也不报警;只有当锁既不打开也不报警;只有当A,B,C或者或者A,B或者或者A,C分别分别同时按下时,锁才能被打开;当不符合上述条件时,将发同时按下时,锁才能被打开

16、;当不符合上述条件时,将发出报警信号,试用出报警信号,试用“与非与非”门设计此密码锁的逻辑电路。门设计此密码锁的逻辑电路。v解:设按键按下的状态为解:设按键按下的状态为1,没按下为,没按下为0;F为锁是否打开为锁是否打开信号,打开时信号,打开时F为为1,否则为,否则为0;G为是否报警信号,输出为是否报警信号,输出1时报警,输出时报警,输出0时不报警。根据题意列真值表如下表所示。时不报警。根据题意列真值表如下表所示。作业点评作业点评 习题习题3ABCFG00000001010100101101100001011011010111101616题真值表题真值表作业点评作业点评 习题习题3v根据真值表

17、可以画出根据真值表可以画出F和和G的卡诺图如下图所示,由卡诺的卡诺图如下图所示,由卡诺图的输出表达式为:图的输出表达式为:v电路图略电路图略作业点评作业点评 习题习题3v3.21 设计一个设计一个1位二进制加位二进制加/减法器,该电路在减法器,该电路在M的控制下的控制下进行加、减运算。当进行加、减运算。当M=0时,实现全加器功能;当时,实现全加器功能;当M=1时,时,实现全减器功能。实现全减器功能。v解:设被加解:设被加/被减数为被减数为A、加数、加数/减数为减数为B、低位来的进位、低位来的进位/借借位为位为C,和,和/差为差为F、向高位的进位、向高位的进位/借位为借位为F,据题意列真,据题意

18、列真值表如下表所示。值表如下表所示。作业点评作业点评 习题习题3MABCFG00000000011000101000110101001001010101100101111 1100000100111101011101101110010110100111000111111作业点评作业点评 习题习题3v由真值表画出卡诺图如下图所示,可得输出表达式为:由真值表画出卡诺图如下图所示,可得输出表达式为:v电路图略电路图略 作业点评作业点评 习题习题3v3.27 用用VHDL语言描述一个语言描述一个1位十进制数的数值范围指示位十进制数的数值范围指示器。电路的输入为一位十进制数的器。电路的输入为一位十进制数

19、的8421码,当输入的十进码,当输入的十进制数大于或等于制数大于或等于5时,输出为时,输出为1,否则为,否则为0。v解:程序清单如下。解:程序清单如下。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY above5 IS PORT(bcd_in: IN STD_LOGIC_VECTOR(3 DOWNTO 0); f:OUT STD_LOGIC);END above5;ARCHITECTURE behave OF above5 IS作业点评作业点评 习题习题3BEGIN WITH bcd_in SELECT f=5 and bcd_in10)then

20、 f=1; else f=0; end if; end process;end behave;作业点评作业点评 习题习题3v3.29 图图3-64所示电路有无险象?若有,请说明出现险象的所示电路有无险象?若有,请说明出现险象的输入条件,经修改设计后画出无险象的电路图。输入条件,经修改设计后画出无险象的电路图。作业点评作业点评 习题习题3v解:(解:(a)由电路图可直接写出输出函数表达式为:)由电路图可直接写出输出函数表达式为: 由表达式可知,由表达式可知,A、D的变化存在产生险象的可能性,进一的变化存在产生险象的可能性,进一步用代数法验证可知:步用代数法验证可知: 当当BCD=001时,时,

21、,可能产生,可能产生1型险象。型险象。 当当ABC=110时,时, ,可能产生,可能产生0型险象。型险象。 当当ABC=111时,时, ,可能产生,可能产生0型险象。型险象。vF化简后为,化简后为, ,虽然,虽然D的变化存在险象的变化存在险象的可能性,但验证后可知,不再会产生险象。的可能性,但验证后可知,不再会产生险象。DBADCBAFACDABDBFAAF DDFDDF作业点评作业点评 习题习题3v由电路图写出输出函数表达式为:由电路图写出输出函数表达式为:v由表达式可知,由表达式可知,A、B、D的变化存在险象的可能性,进一步的变化存在险象的可能性,进一步验证可知:验证可知: 当当BCD=0

22、10时,时, ,可能产生,可能产生0型险象型险象 当当ACD=011时,时, ,可能产生,可能产生0型险象型险象 当当ABC=000时,时, ,可能产生,可能产生1型险象型险象vF化简后为,化简后为, 进一步用卡诺图(卡若图如下图所示)找冗余项后变换为:进一步用卡诺图(卡若图如下图所示)找冗余项后变换为:)(DBDACBAFAAFBBFDDF BDDAABCBAFBDDACDACBAF作业点评作业点评 习题习题3v做此类题目时应注意:判断原电路图是否有险象,写出表做此类题目时应注意:判断原电路图是否有险象,写出表达式后不能化简,因为化简后就与原电路不对应了。达式后不能化简,因为化简后就与原电路

23、不对应了。作业点评作业点评 习题习题3v3.30 (1) 程序实现的是程序实现的是三人表决器三人表决器的功能,的功能,a、b、c为参与表为参与表决的变量输入,决的变量输入,1表示同意;表示同意;0表示反对。表示反对。f为表决结果的为表决结果的输出,输出,1表示通过,表示通过,0表示被否决。表示被否决。 (2)程序实现的是程序实现的是三态传输门三态传输门的功能,当使能信号的功能,当使能信号en为为1时,时,输入数据输入数据din直接送到直接送到dout端口上;否则输出端口为高阻端口上;否则输出端口为高阻状态。状态。 (3)程序实现的是程序实现的是8位单向总线缓冲器位单向总线缓冲器的功能,当使能信

24、号的功能,当使能信号en为为1时,时,8位输入数据位输入数据a直接送到输出端直接送到输出端b;否则输出端;否则输出端为高阻状态。为高阻状态。 作业点评作业点评 习题习题3 (4)程序实现的是程序实现的是8位双向总线缓冲器位双向总线缓冲器的功能,当使能信的功能,当使能信号号en和方向信号和方向信号dir同时为同时为1时,时,8位数据从位数据从ain传送到传送到bout;直接送到输出端;直接送到输出端b;当使能信号;当使能信号en为为1,而方向,而方向信号信号dir为为0时,时,8位数据从位数据从bin传送到传送到aout;直接送到输;直接送到输出端;否则输出端为高阻状态。出端;否则输出端为高阻状

25、态。 (5)程序实现的是程序实现的是对对8位输入数据位输入数据din求补求补的功能,补数的功能,补数输出为输出为dout。 作业点评作业点评 习题习题4v1、将下图所示的波形加在基本、将下图所示的波形加在基本RS触发器上,试画出触发触发器上,试画出触发器输出端器输出端Q和和 的波形,设触发器的初始状态为的波形,设触发器的初始状态为0。v解:解: Qn+111Qn10101000dRSQ作业点评作业点评 习题习题4v2、下图所示为或非门组成的基本、下图所示为或非门组成的基本RS触发器的逻辑电路和逻触发器的逻辑电路和逻辑符号,试写出次态真值表和次态方程。辑符号,试写出次态真值表和次态方程。v解:解

26、:现态现态Qn触发信号触发信号次态次态Qn+1说说 明明RS0000状态保持状态保持10010011置置110110100置置01100011d状态不定状态不定111d次态真值表次态真值表次态方程为:次态方程为: n+1nQ=S+RQRS=0作业点评作业点评 习题习题4v3、已知同步、已知同步RS触发器的输入信号如下图所示,试分别画出触发器的输入信号如下图所示,试分别画出Q和和 端的波形,设触发器初始状态为端的波形,设触发器初始状态为0。v解:同步解:同步RS触发器在触发器在CP的高电平期间的高电平期间,输出随输入的变化,输出随输入的变化而变化。要注意什么情况下出现两个输出端逻辑关系破坏而变化

27、。要注意什么情况下出现两个输出端逻辑关系破坏和状态不定的情况,从而在实际使用中注意正确使用。输和状态不定的情况,从而在实际使用中注意正确使用。输出波形如上图所示。出波形如上图所示。 Q作业点评作业点评 习题习题4v7、根据下图所示的波形,分别画出上升沿和下降沿、根据下图所示的波形,分别画出上升沿和下降沿D触发触发器输出端器输出端Q的波形,设初始状态均为的波形,设初始状态均为0。v解:注意直接复位和直接置位信号不受解:注意直接复位和直接置位信号不受CP控制,具有优控制,具有优先控制作用。先控制作用。作业点评作业点评 习题习题4v10、试利用触发器的次态方程写出下图各触发器次态、试利用触发器的次态

28、方程写出下图各触发器次态Qn+1与与现态现态Qn、输入、输入A、B之间的逻辑函数式之间的逻辑函数式v解:先写出触发器的激励方程,然后根据触发器的次态逻辑解:先写出触发器的激励方程,然后根据触发器的次态逻辑函数式写出次态函数式写出次态Qn+1与现态与现态Qn、输入、输入A、B之间的逻辑函数式。之间的逻辑函数式。 1,nnnKAJAQAQAQA1,nnnKAJAQAQAQ1,nnnnnDAQBQQDAQBQ(b b)(c c)(a a)作业点评作业点评 习题习题5v1、简化表、简化表5-37和表和表5-38所示的状态表。所示的状态表。 Qx01A E/0 D/1BA/1F/0CC/0A/1DB/0

29、A/1ED/1C/0FC/0D/1G H/1G/1HC/1B/1Qx01A D/dC/0BD/1E/dCd/dE/1DA/0C/dEB/1C/d表表5-375-37表表5-385-38作业点评作业点评 习题习题5v解解 :表:表5-37化简步骤如下:化简步骤如下: 画隐含表。如图画隐含表。如图5-1所示。所示。 顺序比较。得出等价的状态对,该例没有。顺序比较。得出等价的状态对,该例没有。 关联比较。关联比较。ACCE ,所以,所以AC不等价;不等价; CHBGBCDEFGHCBAFEDGCEADCEBEADCFADCBCBAD作业点评作业点评 习题习题5v列出最大等价类。本例中得最大等价类为列

30、出最大等价类。本例中得最大等价类为 (A,D), (B,E), (C,F),(G),(H)v将最大等价类将最大等价类(A,D), (B,E), (C,F),(,(G),(),(H)分别)分别用新符号用新符号a, b, c,d,e 表示,得最简状态表如下表所示。表示,得最简状态表如下表所示。 Qx01a b/0a/1ba/1c/0cc/0a/1de/1d/1ed/1b/d作业点评作业点评 习题习题5v解解(b) 化简步骤如下:化简步骤如下:画隐含表。画隐含表。顺序比较。得出相容的状态对,(顺序比较。得出相容的状态对,(A,D),(),(B,C),(C,E)。关联比较。关联比较。ABCE ,所以,

31、所以AB相容;相容; AE BD , 则则AE不相容不相容 BEBD ,所以,所以BE不相容;不相容; CE CD CE ,所以,所以CD相容。相容。得到全部相容状态对:得到全部相容状态对: (A,D), (B,C), (C,E), (A,B), (C,D)。作合并图,作合并图,求最大相容类。求最大相容类。 BCDECEBDBDCECEBCDA作业点评作业点评 习题习题5 作合并图,求最大相容类。作合并图,求最大相容类。 图中没有构成一个全互连多边形,所以找到最大相容类就是如下图中没有构成一个全互连多边形,所以找到最大相容类就是如下相容对:相容对:(A,D), (B,C), (C,E), (A

32、,B), (C,D)。 相容类相容类(A,D), (B,C), (C,E) 满足最小、闭合和覆盖三个条满足最小、闭合和覆盖三个条件,所以取相容类件,所以取相容类(A,D), (B,C), (C,E),分别命名为,分别命名为a,b,c。得最简状态表如下表所示。得最简状态表如下表所示。 相容类相容类覆盖覆盖闭合闭合A AB BC CD DE EX=0X=0X=1X=1ADADA A D D ADADC CBCBC B BC C D DE ECECE C C E EB BCECEQx01a a/0b/0ba/1c/1cb/1c/1作业点评作业点评 习题习题5v2、 根据状态分配方法,分别对状态表根据

33、状态分配方法,分别对状态表5-39和表和表5-40进行状进行状 态分配,列出二进制状态表。态分配,列出二进制状态表。 表表5-375-37表表5-385-38Qx01A A/0B/0BC/0B/0CD/0B/0DB/1A/0Qx01AB/0E/0BD/0A/1CD/0A/0DB/1C/1EA/0A/0作业点评作业点评 习题习题5v解:表解:表5-39,状态分配的原则为:,状态分配的原则为: (1) 在相同输入条件下,次态相同,在相同输入条件下,次态相同,现态应给于相邻编码。现态应给于相邻编码。 AB,AC,BC应相邻编码;应相邻编码; (2) 在不同输入条件下,同一现态的在不同输入条件下,同一

34、现态的次态应相邻编码。次态应相邻编码。 AB,BC,BD应应相邻编码;相邻编码; (3) 输出完全相同,两个现态应相邻输出完全相同,两个现态应相邻编码。编码。AB,AC,BC应相邻编码。应相邻编码。Qx01A A/0B/0BC/0B/0CD/0B/0DB/1A/0作业点评作业点评 习题习题5v综合上述要求,综合上述要求,AB,AC应给应给予相邻编码。借用卡诺图,很予相邻编码。借用卡诺图,很容易得到满足上述相邻要求的容易得到满足上述相邻要求的状态分配方案,如图所示。根状态分配方案,如图所示。根据该图可得状态编码为:据该图可得状态编码为:A=00, B=01, C=10, D=11Qx01A A/

35、0B/0BC/0B/0CD/0B/0DB/1A/0Qx0100 00/001/00110/001/01011/001/01101/100/0作业点评作业点评 习题习题5v解:表解:表5-40,状态分配的原则为:,状态分配的原则为: (1) 在相同输入条件下,次态相同,在相同输入条件下,次态相同,现态应给于相邻编码。现态应给于相邻编码。 AD,BC,BE,CE应相邻编码;应相邻编码; (2) 在不同输入条件下,同一现态的在不同输入条件下,同一现态的次态应相邻编码。次态应相邻编码。 BE,AD,BC应应相邻编码;相邻编码; (3) 输出完全相同,两个现态应相邻输出完全相同,两个现态应相邻编码。编码

36、。AE应相邻编码。应相邻编码。Qx01AB/0E/0BD/0A/1CD/1A/0DB/1C/1EA/0A/0作业点评作业点评 习题习题5v综合上述要求,综合上述要求, AD,BC,BE,CE应给予相邻编码。借用卡诺应给予相邻编码。借用卡诺图,很容易得到满足上述相邻要求的状态分配方案,如图所示。图,很容易得到满足上述相邻要求的状态分配方案,如图所示。根据该图可得状态编码为:根据该图可得状态编码为:A=000, B=011, C=001, D=010 , E=111。v二进制状态表略(注意无关项)二进制状态表略(注意无关项)作业点评作业点评 习题习题5v3、试分析下图所示的时序电路的逻辑功能,画出

37、状态表和、试分析下图所示的时序电路的逻辑功能,画出状态表和状态图。状态图。v解解 : 由电路图可写出激励函数、输出函数:由电路图可写出激励函数、输出函数: 12D =Q A21221D =Q Q A=AQ +AQ21Y=AQ Q 作业点评作业点评 习题习题5v将激励函数、输出函数表示在卡诺图上如下图所示,因为将激励函数、输出函数表示在卡诺图上如下图所示,因为是是D触发器,该卡诺图也就是二进制形式的状态表。触发器,该卡诺图也就是二进制形式的状态表。 12D =Q A21221D =Q Q A=AQ +AQ21Y=AQ Q“11111111”检测器检测器 表格法请自己练习表格法请自己练习 作业点评

38、作业点评 习题习题5v11、试分析下图所示的计数器在、试分析下图所示的计数器在M=1和和M=0时各为几进制。时各为几进制。v解:解:M=0是是8进制计数器;进制计数器;M=1是是6进制计数器。进制计数器。 作业点评作业点评 习题习题5v12、下图所示电路是可变进制计数器。试分析当控制变量、下图所示电路是可变进制计数器。试分析当控制变量A为为1和和0时电路各为几进制计数器。时电路各为几进制计数器。v解:解:A=0 是是10进制计数器;进制计数器;A=1是是12进制计数器。进制计数器。 作业点评作业点评 习题习题5v13、设计一个可控进制计数器,当输入控制变量、设计一个可控进制计数器,当输入控制变

39、量M=0时工作在五进制,时工作在五进制,M=1时工作在十五进制。请标出计数输入端和进位输出端。时工作在十五进制。请标出计数输入端和进位输出端。v解:当解:当M=0时,计数器计到时,计数器计到0100时,与非门输出低电平,使端时,与非门输出低电平,使端 有有效,允许从输入端置数,在下一个时钟脉冲来到时,将输入端的效,允许从输入端置数,在下一个时钟脉冲来到时,将输入端的0000送到输出状态送到输出状态Q3Q2Q1Q0 , 端又变为高电平,计数器继续计数。所端又变为高电平,计数器继续计数。所以计数状态从以计数状态从00000001001000110100再到再到0000进行循环计数,进行循环计数,实

40、现实现5进制计数器。进制计数器。v当当M=1时,计数器计到时,计数器计到1110时,与非门输出低电平,使时,与非门输出低电平,使 端有效,端有效,允许从输入端置数,在下一个时钟脉冲来到时,将输入端的允许从输入端置数,在下一个时钟脉冲来到时,将输入端的0000送到送到输出状态输出状态Q3Q2Q1Q0 , 端又变为高电平,计数器继续计数。所以端又变为高电平,计数器继续计数。所以计数状态从计数状态从000000010010001101000101011001111000100110101011110011011110再到再到0000进行循环计数,实现进行循环计数,实现15进制进制计数器。计数器。 L

41、DLDLDLD作业点评作业点评 习题习题5作业点评作业点评 习题习题5v解法解法2:作业点评作业点评 习题习题5v18 作作1010序列检测器的状态图、状态表。已知检测器的输序列检测器的状态图、状态表。已知检测器的输入输出序列如下入输出序列如下(序列可以重叠序列可以重叠)。 输入:输入: 0 0 1 0 1 0 0 1 0 1 0 1 0 1 1 0 输出:输出: 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0v解:状态图、状态表为:解:状态图、状态表为: 作业点评作业点评 习题习题5v21、试用、试用JK触发器设计一个触发器设计一个“101”序列检测器。该同步时序网序列检测器

42、。该同步时序网络有一根输入线络有一根输入线x,一根输出线,一根输出线Z。对应于每个连续输入序列。对应于每个连续输入序列“101”的最后一个的最后一个1,输出,输出Z=1,其它情况下,其它情况下Z=0。例如:。例如: x 0 1 0 1 0 1 1 0 1 Z 0 0 0 1 0 1 0 0 1 v解:根据题意得状态图、状态表:解:根据题意得状态图、状态表: (注意:序列允许重叠)(注意:序列允许重叠)作业点评作业点评 习题习题5v对状态表进行状态分配。令对状态表进行状态分配。令A、B、C分别为分别为00、01、10。可得可得Y-Z矩阵如下:矩阵如下:v根据根据Y-Z矩阵可以得到电路的次态方程和

43、输出方程:矩阵可以得到电路的次态方程和输出方程: n+110Q=xQn+10Q=x1Z=xQ 作业点评作业点评 习题习题5v作如下变换并与触发器的次态方程比较得作如下变换并与触发器的次态方程比较得 :v电路图略电路图略 n+1111001001Q=xQxQ (Q +Q )=xQ QxQ Qn+100000Q=x=x(Q +Q )=xQxQ10J =xQ001K =xQ =x+Q 0J =x0K =x 作业点评作业点评 习题习题525、LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTIT

44、Y counter ISPORT (clock,clear,count:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END counter;ARCHITECTURE one OF counter IS SIGNAL pre_q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(clock,clear,count) BEGIN IF clear =1 THEN pre_q = pre_q-pre_q; ELSIF (clock =1 AND clockEVENT) THEN IF count =1 THE

45、N pre_q = pre_q +1; END IF; END IF; END PROCESS; q = pre_q;END ONE;v功能:功能:1616进制计数器进制计数器v信号作用:信号作用:lclockclock:时钟:时钟lclearclear:异步清零:异步清零lcountcount:计数控制:计数控制lq q:状态输出:状态输出作业点评作业点评 习题习题5v25、作业点评作业点评 习题习题526(1)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY counter IS

46、PORT (clk,clr_1,ld_1,enp,ent: IN STD_LOGIC; d:IN std_logic_vector(3 DOWNTO 0); q:OUT std_logic_vector(3 DOWNTO 0); rco:OUT STD_LOGIC);END counter;ARCHITECTURE one OF counter IS SIGNAL iq: std_logic_vector(3 DOWNTO 0);BEGIN PROCESS(clk,ent,enp,iq) BEGIN IF clk EVENT AND clk =1 THEN IF clr_1 =1 THEN i

47、q0); ELSIF ld_1=0 THEN iq=d; ELSIF (ent AND enp)= 1 AND (iq=9) THEN iq =( 0, 0, 0, 0); ELSIF (ent AND enp)= 1 THEN iq =iq+1; END IF; END IF; IF (iq =9) AND (ent=1) THEN IF (iq =9) AND (ent=1) THEN rcorco=1;=1; ELSE rco ELSE rco=0;=0; END IFEND IF; ; END PROCESS; END PROCESS; q=iq q=iq; ;END ONE;END

48、ONE;作业点评作业点评 习题习题5v功能:功能:10进制计数器(类似进制计数器(类似74160)作业点评作业点评 习题习题5v26(2):):10进制计数器(进制计数器(74160),),请与请与26(1)比较)比较v26(3)状态图如下图,可见实现的是)状态图如下图,可见实现的是“101”序列检测序列检测statedin=0din=1S1S1/0S2/0S2S3/0S2/0S3S3/0S1/1作业点评作业点评 习题习题6v1、图、图6-81所示电路中的每一方框均为输出低电平有效的所示电路中的每一方框均为输出低电平有效的2-4线译码器,其使能端为低电平有效。要求:线译码器,其使能端为低电平有

49、效。要求: (1) 写出电路工作时写出电路工作时 , , , 的逻辑表达式。的逻辑表达式。 (2) 说出电路的逻辑功能。说出电路的逻辑功能。 10F20F30F40F作业点评作业点评 习题习题6v解:(解:(1)当)当CD=00时,时, =0,即,即 =0,上面一排最左边,上面一排最左边的译码器工作,此时当的译码器工作,此时当AB=0时,时, =0。因此,的逻辑表。因此,的逻辑表达式为达式为 。同理可以写出其他几个逻辑表达式为:。同理可以写出其他几个逻辑表达式为:v( 2)由()由(1)的分析可知该电路实现的是)的分析可知该电路实现的是4-16线译码器的线译码器的功能。其中功能。其中A、B、C

50、、D为译码输出端,为译码输出端, 为低电平为低电平有效的译码输出端。有效的译码输出端。 50F1E10FDCBAF10CDBAFDCBAFDCBAF04030210F40F作业点评作业点评 习题习题6v4、由、由3-8线译码器线译码器74LS138和和8选选1数据选择器数据选择器74LS151组成组成的电路如下图所示,图中的电路如下图所示,图中X2 X1 X0和和Z2 Z1 Z0为为2个个3位二进位二进制数。试分析此电路所完成的逻辑功能。制数。试分析此电路所完成的逻辑功能。 作业点评作业点评 习题习题6v解:解:74LS138和和74LS151的使能端、的使能端、 , 恒为有恒为有效电平。当输

51、入效电平。当输入X2 X1 X0和和Z2 Z1 Z0同时为同时为0时,输出时,输出Y= (此时(此时 为为1););当输入当输入X2 X1 X0=000而而Z2 Z1 Z0=001时,输出时,输出Y= (此时为(此时为0, 为为1)。同理)。同理可知,当可知,当X2 X1 X0= Z2 Z1 Z0时,输出时,输出Y=0;当;当X2 X1 X0Z2 Z1 Z0时,输出时,输出Y=1。v综上分析,该电路实现的是判断两个综上分析,该电路实现的是判断两个3位二进制数值是否位二进制数值是否相等的数值比较器,当输入相等的数值比较器,当输入X=Z 时,输出时,输出Y=0;否则,;否则,Y=1。 001SSS1230S0Y01Y7Y7Y2Y1Y1作业点评作业点评 习题习题6v6、分析下图所示的由、分析下图所示的由8选选1数据选择器组成的电路,说明数据选择器组成的电路,说明其实现的逻辑功能。其实现的逻辑功能。 作业点评作业点评 习题习题6v解:由解:由8选选1数据选

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论