版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、精选优质文档-倾情为你奉上EDA课程设计论文 目录一课程设计目的 3二Protel 99se综合设计 . 3 1.电路原理图设计.3 2.新建与放置元件 .3 3.元件封装的定义与设定 .4 4.生成网络表 6 5.印制路板的设计6 5.1.规划电路板 .6. 5.2 设置参数.6 5.3装入网络表.7. 5.4元器件布局.7 5.5 自动布线.7 5.6 手工调整自动布线.7三 实践设计 .8 1 内容:自动可乐售货机 82.要求 .83.MAX+PLUSii软件选择与论证 .84.MAX+plusII软件的使用.9 4.1文本编辑 .9 4.2原理图编辑.14 4.3波形编辑 15四 心得
2、体会 18参考文献 .19 一 课程设计目的1. 学习掌握protel ,ewb和muxplus 2设计实用电路的方法,能熟练运用这些软件设计电路2. 通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使我们具有一定的实践操作能力。二 protel 99 se综合设计 电路原理图设计 进入protel 99se ,在E盘建立一个文件夹,创建一个数据库,执行菜单file/new命令选择schematic document 图标,双击该图标,建立原理图设计文档,执行菜单Design/Options和Tool/Preferences,设置图纸大小A4、捕捉
3、栅格、电气栅格等。 新建与放置元件到元件库中找出所需的元件,然后用元件管理器的Place按钮将元件放置在工作平面上,按住tap键,对元件属性进行设置,名称,标号。标称值或元件型号以及元件封装,单击ok键即可,再根据元件之间的走线把元件调整好。对于该原理图中的元件要求新建一个元件,单击design生成方案库,再单击tools选择新建元件,命名。在新建元件界面的第四象限进行绘制新元件,这里得注意管脚的编号与之后封装的焊盘号要一致最后单击update schematics就可以应用到原理图中了。 自制的光敏电阻 元件封装的定义与设定所示原理图中要求自制封装scr,microphone,bridge和
4、光敏电阻。这里所需注意的是封装要在topoverly层中。单击file-new,选择PCB library document主要是要看元件所示管脚,有几个管脚即放置几个焊盘。画完后单击update pcb即可应用,画出的相应封装如下图所示1 Scr封装. 2 Microphone封装 3 Bridge的封装 4光敏电阻的封装完成原理图各元器件放好后,进行相关的设置后,对于一些自制的封装,回到原理图写入footprint,然后连接电路图生成网络表网络表是电路原理图设计和印刷电路板设计之间的桥梁,执行菜单命令Design/Create Netlist可以生成具有元件名、元件封装、参数及元件之间连接
5、关系的网络表。印制路板的设计1.执行菜单File/New命令,从框中选择(PCB Document)图标,选择wizards,选取第一个,根据下列要求确定电路板的尺寸及相关设置(使用双层版)要求:(1) 电路板大小为100mm150mm.(2) 电源、地线铜膜线的宽度为50mil;(3) 一般布线的宽度为12mil;(4) 布线的线间距离为12mil;(5) 布线拐角模式为45转角;(6) 元器件排列整齐、面板美观、使用方便。 2.设置参数 执行菜单命令Design/Rules,左键单击Routing按钮,根据设计要求,在规则类(Rules Classes)中设置参数.选择Width Cons
6、traint,对地线线宽进行设置:左键单击Add按钮,进入线宽规则设置界面,首先在Rule Scope区域的Filter Kind选择框中选择Net,然后在Net下拉框中选择GND,再在Rule Attributes区域将Minimum width、Maximum width和Preferred三个输入框的线宽设置为50mil; 电源线宽的设置:在Net下拉框中选择VCC,其他与地线线宽设置相同; 整板线宽设置:在Filter Kind选择框中选择Whole Board,然后将Minimum width,Maximum width和Preferred三个输入框的线宽设置为12mil。 3.装入
7、网络表 执行菜单Design/Load Nets命令,然后在弹出的窗口中单击Browse按钮,再在弹出的窗口中选择电路原理图设计生成的网络表文件(扩展名为Net),若出现错误提示,必须更改错误,如果没有错误,单击Execute。 4.元器件布局Protel 99 SE既可以进行自动布局也可以进行手工布局,执行菜单命令Tools/Auto Placement/Auto Placer可以自动布局。5.自动布线执行菜单命令Auto Routing/All,并在弹出的窗口中单击Route all按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎是100%。 6.
8、手工调整自动布线经过自动布线,可得PCB版图三 实践设计1 内容:自动可乐售货机 用两个发光二极管分别模拟售出面值为3元和5元的可乐,购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。用开关分别模拟1元,5元和10元人民币投入,用发光二极管分别代表着回剩余的硬币。2.要求:每次只能售出1罐可乐,当所投硬币达到或超过购买者所选面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态;当所投人民币不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。 3.MAX+PLUS II软件MAX plus II软件是一款高效的、非常灵活的数字电路开发设计软件,它提供了多种输入方法供设计者选用,利用
9、合适的输入方法设计完数字系统之后,设计者可利用逻辑综合工具进行逻辑综合,并可以用仿真器进行软件仿真,使设计者能够尽早发现设计中的错误,缩短设计周期,能直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库。该软件能进行任意层次的数字系统设计,对系统中的任意层次,或任意元件的功能进行精确的时序仿真;通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证,对器件的了解可使设计者更好的利用器件资源,掌握硬件描述语言可以快速的用VHDL进行设计4.MAX+plusII软件的使用1)文本编辑进入编辑VHDL语言界面,屏幕上用鼠标点击File选项,此时出现子菜单如图
10、所示 由于是输入新文件,故点击子菜单中的NEW项,接着屏幕出现NEW的对话框如图1-1所示1-1 图选择第三项,点击对话框的OK按钮,屏幕将会出现一个无名的编辑窗口,如图1-2所示 1-2在无名的文本编辑窗口下输完程序后点击File下的Save,在屏幕上会弹出一个对话框, 在FileName中输入文件名,文件名必须跟程序中的工程名一致,文件的扩展名为.VHD,存放在E:下的*(班级及自己的名字必需用英文)文件夹下面。文本编辑如下:l)代码输入 library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;en
11、tity kele is port(m1,m5,m10:in std_logic; t3,t5:in std_logic; reset:in std_logic; clk1:in std_logic; s3,s5:out std_logic; ch:out std_logic_vector(4 downto 0);end kele;architecture ke_arc of kele isbegin process(clk1,m1,m5,m10,t3,t5,reset) variable money:std_logic_vector(4 downto 0); variable a:std_l
12、ogic; variable cnt:integer range 0 to 60; begin if clk1event and clk1=1 then if a=1 then if m1=0 then money:=money+1; elsif m5=0 then money:=money+5; elsif m10=0 then money:=money+10; elsif reset=0 then ch2 then ch=money-3; s34 then ch=money-5; s5=1; a:=0; end if; else if cnt60 then cnt:=cnt+1; else
13、 cnt:=0; money:=00000; s3=0; s5=0; ch=00000; a:=1; end if; end if; end if; end process; end ke_arclibrary ieee;use ieee.std_logic_1164.all;entity ciao is port(a,clk:in std_logic; b:out std_logic);end ciao;architecture c_arc of ciao issignal tmp1:std_logic; begin process(clk,a)variable tmp3,tmp2:std_
14、logic;begin if clkevent and clk=0 then tmp1=a; tmp2:=tmp1; tmp3:=not tmp2; end if;b=tmp1 and tmp3 and clk;end process;end c_arc; 2 )原理图编辑单击图形编辑,单击在菜单栏中选择File New命令或单击工具栏中的 按钮,弹出如图对话框: 选择对话框中的图形编辑,然后点击“OK”按钮双击图纸空白处,或在菜单栏中选择Symbol Enter Symbol ,弹出对话框: 选择自己文件保存的位置,选择ciao,,所示 引入输入和输出引脚,按照输入功能模块的方法,打Ente
15、rSymbol对话框,在其中输入“OUTPUT”,单击“OK”,则输入引脚符号显示在图形文件窗口中,按CTRL键,将鼠标放OUTPUT上,按下左键拖动鼠标,在其下创建“OUTPUT“符号的副本。切记在复制过程中不要放松CTRL键,重复步骤引入“INPUT”符号。编辑如图连线: 5.仿真结果仿真是EDA 数字电路设计的一项强大功能,它可以帮助设计者模拟真实环境,解决逻辑错误。为了验证本设计的正确性,在MAX+PLUS集成开发平台下对所编写的代码进行了编译仿真,仿真波形图如图 四 心得体会刚开学,第一周就是课程设计,对于protel 99se软件,我们上学期刚学过,对于一些操作还是挺熟悉的的,对于
16、此次课程设计,老师又给我我们做了详细的讲解,也向我们演示了一些操作,在画原理图的过程中,对于一些常见的元器件及封装,自己也能基本上不看资料,对于加载网络表出现的错误,自己也能独立去改正,认真的去修改错误,正确生成PCB版图。对于自动可乐售货机的设计,我们需要用到MAX+plusII软件,对于VHDL语言自己也不是很熟悉,自己又拿出以前的课本,复习了一下基本知识,在上课的过程中,对于protel 99se软件也能够熟练的应用,也学到了一些其他的知识,比如说截图,还有对课程设计的流程有了更加深入的了解,毕竟也做了几次课程设计在上课的过程中,自己也多次练习了画原理图,提高了自己的画图水平,然而对于MAX+plusII软件,自己对相关操作已忘得差不多了,在编辑代码的过程中,对于出现的一些错误,自己很难去找出错误,但当和同学探讨时,就能找出错误的所在,进一步的完成下一步实验,在对电路连接的过程
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024物流金融、信用保险服务合同
- 2025年度市政道路改造工程设计与施工总承包合同书3篇
- 2025年IDC机房租赁合同及网络安全评估协议3篇
- 二零二五版金融租赁合同抵押担保与租赁资产处置协议2篇
- 2025厂房升级改造与设备更新一体化合同3篇
- 2024跨区域绿色能源开发与合作框架合同
- 2025版韵达快递业务承包及运营合同3篇
- 幼儿园2025年度绿化维护服务合同2篇
- 二零二五年房车托管与户外运动俱乐部合作合同3篇
- 个人二手手机买卖合同(2024版)2篇
- 【传媒大学】2024年新营销
- 乳腺癌的综合治疗及进展
- 【大学课件】基于BGP协议的IP黑名单分发系统
- 2025届广东省佛山市高三上学期普通高中教学质量检测(一模)英语试卷(无答案)
- 自身免疫性脑炎课件
- 人力资源管理各岗位工作职责
- 信阳农林学院《新媒体传播学》2023-2024学年第一学期期末试卷
- 2024建筑公司年终工作总结(32篇)
- 信息安全意识培训课件
- 2024年项目投资计划书(三篇)
- 配电安规课件
评论
0/150
提交评论