《EDA技术与Verilog设计》第2章:PLD器件_第1页
《EDA技术与Verilog设计》第2章:PLD器件_第2页
《EDA技术与Verilog设计》第2章:PLD器件_第3页
《EDA技术与Verilog设计》第2章:PLD器件_第4页
《EDA技术与Verilog设计》第2章:PLD器件_第5页
已阅读5页,还剩34页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 PLD的发展历程的发展历程 熔丝编程的熔丝编程的PROM和和PLA器件器件 AMD公公司推出司推出PAL器件器件 GAL器件器件 FPGA器器件件 EPLD器器件件 CPLD器器件件 内嵌复杂内嵌复杂功能模块功能模块的的SoPC 1985年,美国年,美国Xilinx公司推出了现场可编程公司推出了现场可编程门阵列(门阵列(FPGA,Field Programmable Gate Array) CPLD(Complex Programmable Logic Device),即复杂可编程逻辑器件,是从),即复杂可编程逻辑器件,是从EPLD改进而来的。改进而来的。PLDPLD的集成度分类的集成度分类

2、 可编程逻辑器件(PLD) 简单 PLD 复杂 PLD PROM PAL PLA GAL CPLD FPGA 一般将一般将GAL22V10(500门门750门门 )作为简单)作为简单PLD和高密和高密度度PLD的分水岭的分水岭PLD器件按照可以编程的次数可以分为两类:器件按照可以编程的次数可以分为两类:(1) 一次性编程器件(一次性编程器件(OTP,One Time Programmable)(2) 可多次编程器件可多次编程器件OTP类器件的特点是:只允许对器件编程一次,不能修改,类器件的特点是:只允许对器件编程一次,不能修改,而可多次编程器件则允许对器件多次编程,适合于在科研开而可多次编程器

3、件则允许对器件多次编程,适合于在科研开发中使用。发中使用。按编程特点分类按编程特点分类(1)熔丝(熔丝(Fuse)(2)反熔丝(反熔丝(Antifuse)编程元件)编程元件(3)紫外线擦除、电可编程,如紫外线擦除、电可编程,如EPROM。(4)电擦除、电可编程方式,电擦除、电可编程方式,(EEPROM、快闪存储器(、快闪存储器(Flash Memory),如多数),如多数CPLD(5)静态存储器(静态存储器(SRAM)结构,如多数)结构,如多数FPGA 按编程元件和编程工艺划分按编程元件和编程工艺划分输入缓冲电路与阵列或阵列输出缓冲电路输入输出PLD器件的原理结构图器件的原理结构图 数字电路符

4、号表示数字电路符号表示 常用逻辑门符号与现有国标符号的对照常用逻辑门符号与现有国标符号的对照 PLD电路符号表示电路符号表示 与门、或门的表示与门、或门的表示 nPLD连接表示法 PROM 与阵列(不可编程)或阵列(可编程)0A1A1nA0W1W1pW0F1F1mFnp2 PROM的逻辑阵列结构的逻辑阵列结构 PROM PROM表达的表达的PLD阵列图阵列图 与阵列(固定)或阵列(可编程)0A1A1A1A0A0A1F0F1010AACAASPROM 用用PROM完成半加器逻辑阵列完成半加器逻辑阵列 01110100AAFAAAAF与阵列(固定)或阵列(可编程)0A1A1A1A0A0A1F0FP

5、LA PLA逻辑阵列示意图逻辑阵列示意图 与阵列(可编程)或阵列(可编程)0A1A1A1A0A0A1F0FPLA PLA与与 PROM的比较的比较 0A1A1F0F2A2F0A1A1F0F2A2FPAL PAL结构结构 PAL的常用表示的常用表示 0A1A1F0F0A1A1F0FPAL PAL22V10部分结构图部分结构图GAL GAL22V10的结构(局部)的结构(局部) GAL22V10的的OLMC结构结构CPLD器件的结构器件的结构 MAX 7000S器件的内部结构器件的内部结构 MAX 7000S器件的宏单元结构器件的宏单元结构4输入输入LUT及内部结构图及内部结构图 FPGA器件的内

6、部结构示意图器件的内部结构示意图 XC4000器件的器件的CLB结构结构 Cyclone器件的器件的LE结构(普通模式)结构(普通模式) 1熔丝熔丝(Fuse)型器件型器件 2反熔丝反熔丝(Anti-fuse)型器件型器件 3EPROM型,紫外线擦除电可编程型,紫外线擦除电可编程4EEPROM型型 6SRAM型型 5Flash型型 边界扫描电路结构边界扫描电路结构 为了解决超大规模集成电路(为了解决超大规模集成电路(VLSI)的测试问题,自)的测试问题,自1986年开始,年开始,IC领领域的专家成立了域的专家成立了“联合测试行动组联合测试行动组”(JTAG,Joint Test Action

7、Group),并制定出了,并制定出了IEEE 1149.1边界扫描测试(边界扫描测试(BST,Boundary Scan Test)技)技术规范术规范引引 脚脚描描 述述功功 能能TDI测试数据输入测试数据输入(Test Data Input)测试指令和编程数据的串行输入引脚。数据在测试指令和编程数据的串行输入引脚。数据在TCK的上升沿移入。的上升沿移入。TDO测试数据输出测试数据输出(Test Data Output)测试指令和编程数据的串行输出引脚,数据在测试指令和编程数据的串行输出引脚,数据在TCK的下降沿移出。如果数据没有被移出时,该引脚处的下降沿移出。如果数据没有被移出时,该引脚处于

8、高阻态。于高阻态。TMS测试模式选择测试模式选择(Test Mode Select)控制信号输入引脚,负责控制信号输入引脚,负责TAP控制器的转换。控制器的转换。TMS必须在必须在TCK的上升沿到来之前稳定。的上升沿到来之前稳定。TCK测试时钟输入测试时钟输入(Test Clock Input)时钟输入到时钟输入到BST电路,一些操作发生在上升沿,而电路,一些操作发生在上升沿,而另一些发生在下降沿。另一些发生在下降沿。TRST测试复位输入测试复位输入(Test Reset Input)低电平有效,异步复位边界扫描电路低电平有效,异步复位边界扫描电路(在在IEEE规范规范中,该引脚可选中,该引脚

9、可选)。 未编程前先焊接安装未编程前先焊接安装n 减少对器件的触摸减少对器件的触摸和损伤和损伤n 不计较器件的封装不计较器件的封装形式形式系统内编程系统内编程-ISPn 样机制造方便样机制造方便n 支持生产和测试支持生产和测试流程中的修改流程中的修改在系统现场重编程修改在系统现场重编程修改n 允许现场硬件升级允许现场硬件升级n 迅速方便地提升功能迅速方便地提升功能下载接口引脚信号名称下载接口引脚信号名称 引脚引脚12345678910PS模式模式DCKGNDCONF_DONEVCCnCONFIG-nSTATUS-DATA0GNDJATG模模式式TCKGNDTDOVCCTMS-TDIGNDUSB

10、-Blaster下载电缆下载电缆 1. ispLSI器件的结构与特点器件的结构与特点 (1)采用)采用UltraMOS工艺。工艺。(2)系统可编程功能,所有的)系统可编程功能,所有的ispLSI器件均支持器件均支持ISP功能。功能。(3)边界扫描测试功能。)边界扫描测试功能。(4)加密功能。)加密功能。(5)短路保护功能。)短路保护功能。 2. ispMACH4000系列系列 3. Lattice EC & ECP系列系列 ispMACH4000系列系列CPLD器件有器件有3.3V、2.5V 和和 1.8V 三种供电电压,分别属于三种供电电压,分别属于 ispMACH 4000V、is

11、pMACH 4000B 和和 ispMACH 4000C 器件系列。器件系列。 Xilinx公司的公司的FPGA和和CPLD器件系列器件系列 1. Virtex-4系列系列FPGA 2. Spartan& Spartan-3 & Spartan 3E器件系列器件系列 3. XC9500 & XC9500XL系列系列CPLD 4. Xilinx FPGA配置器件配置器件SPROM 5. Xilinx的的IP核核 Altera公司公司FPGA和和CPLD器件系列器件系列 1. Stratix II 系列系列FPGA 2. Stratix系列系列FPGA 3. ACEX系列系列FPGA 4. FLEX系列系列FPGA 5. MAX系列系列CPLD 6. Cyclone系列系列FPGA低成本低成本FPGA 7. Cyclone II系列系列FPGA 8. MAX II系列器件系列器件 9. Altera宏功能块及宏功能块及IP核核 2-1 PLA和和PAL在结构上有什么区别?在结构上有什么区别?2-2 说明说明GAL的的OLMC有什么特点,它怎样实现可编有什么特点,它怎样实现可编程组合电路和时序电路?程组合电路和时序电路?2-3 简述基于乘积项的可编程逻辑器件的结构特点?简述基于乘积项的可编程逻辑器

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论