




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 EDA技术及应用课程设计报告题 目: 八位数码管扫描显示电路的设计 院 (系): 机电与自动化学院 专业班级: 电气自动化技术 学生姓名: 学 号: 指导教师: 2021年 6月10日至2021年 6月23日 华中科技大学武昌分校 EDA技术及应用课程设计任务书一、设计题目八位数码管扫描显示电路的设计二、设计主要内容本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。设计一个八位数码管共阳极动态扫描显示控制电路,要求显示学生自己的学号。利用
2、实验室设备完成系统设计并进行运行调试。1、具体设计内容如下:(1)静止显示学号;(2)动态循环显示学号。2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。三、原始资料1、LED显示模块原理LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个
3、LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。2、系统结构图信号名与芯片引脚对照表硬件资源元件引脚EP3C引脚序号电路使用说明LED数码显示A133该部分电路为固定电路。使用LED数码显示时请按照器件引脚分配表进行引脚分配后再下载到芯片中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、LED连接图4、课程设计使用设备(1
4、)EDA及SOPC综合实验平台;(2)导线若干;(3)PC机;(4)Quartus II开发工具软件。四、要求的设计成果(1)根据控制要求设计硬件电路原理图。(2)编写用于系统仿真的VHDL源程序。(3)系统仿真及验证结果。(4)编写EDA技术及应用课程设计报告,课程设计报告内容包括: 设计方案、课程设计过程和设计思想、方法、原理。 画出系统的原理图。 VHDL语言程序及仿真波形。 参考资料、参考书及参考手册。 其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题及解决方法、对课程设计的认识和建议等。 可编程控制器技术课程设计报告可以手写,也可以用电脑编排打印,报告格式按照华中科技大学
5、武昌分校课程设计管理办法执行。课程设计报告要求内容正确完整,图表清晰,叙述简明,语句通顺,字数不得少于2021 汉字。 课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页(实物照片贴在A4复印纸上)、成绩评定表的顺序装订。目 录摘要.1. 课程设计题目及要求.11.1 设计题目.11.2 LED显示器的动态扫描驱动电路. .11.3 设计方案论证.12. LED显示器动态扫描驱动电路各单元电路设计. 32.1 计数器与译码器的设计.32.2 一位共阳极LED动态驱动电路设计.42.3 七段数码管的设计.53. LED显示器动态扫描系统设计.73.1 整体电路图及工作原理.73.2 VH
6、DL程序设计.73.3电路参数计算.104. Quartus运行调试.124.1时序仿真.124.2硬件逻辑验证.134.3 调试结果分析.134.4调试中出现的问题及解决方法.145. 设计总结.156. 参考文献.16 摘要 本文通过一个3-8译码器电路,将输入的4位2进制数转换为与LED显示对应的8位段码,位码就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要使8个数码管动态扫描显示,就是把所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。使用Quartus II6
7、.0软件设计一个VHDL程序并对设计方案进行仿真,再硬件调试经检测输出正确的设计要求结果。关键词:动态扫描 Quartus II6.0 VHDLAbstract In this paper, through a 3-8 decoder circuit, the input of four hexadecimal number into 2 and eight LED display the corresponding section of code, a codeis the LED display can make side, for the LED with Yang level, hig
8、h level canmake. To make eight digital tube dynamic scans showed that is all the samesegment digital tube connected in parallel, through the gating signal time-sharing control public side, the digital tube loop light multiple digital tube, and use the eye of the phenomenon of persistence of vision,
9、as long as the scanning frequency is more than 50 hz, will see the flicker phenomenon. Using Quartus II6.0 a VHDL program design and the software design simulation, and hardware debugging through testing output correct design requirementsKey words: dynamic scanning Quartus II6.0 VHDL1. 课程设计题目及要求1.1设
10、计题目八位数码管扫描显示电路的设计 设计主要内容:本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。设计一个八位数码管共阳极动态扫描显示控制电路,要求8位数码管同时显示电路显示8个16进制数,用动态扫描方式实现。利用实验室设备完成系统设计并进行运行调试。1.2 LED显示器动态扫描驱动电路的要求 设计要求:(1)分析设计要求,明确性能指标。必须仔细分析课题要求,性能,指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 (2)确定
11、合理的总体方案,对各种方案进行比较,以电路的先进性,结构的繁简,成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。 (3)设计各单元电路。总体方案化整为零,分解成若干自行同或单元电路,逐个设计。 (4)组成系统。在一定幅面的图纸上合理布局,通常是按照信号的流向,采用左进右出的规律摆放各电路,并标出表的说明。 技术指标: (1)发光强度比 由于数码管各段在同样的驱动电压时,各段正向电流不相同,所以各段发光强度不同,所有段的发光强度值中最大值与最小值之比为发光强度比。比值可以再1.5-2.3间,最大不能超过2.5. (2)脉冲正向电流 若笔画显示器每段典型正向直流工作电流为A
12、,则在脉冲下,正向电流可以远大于A。脉冲占空比越小,脉冲正向电流可以越大。1.3设计方案论证 通过计数器与译码器控制三态门,在通过三态门控制输出中间级使其数据传送到LED七段数码显示器。这里计数器采用555定时计数器,译码器采用138译码器,按要求采用4位分立元件,如下图:通常的4位LED显示器如图所示,其内部由多只二极管构成,按连接方式不同可分为共阳极LED和共阴极LED。器电路特性基本一致:发光二级管导通压降为1.2-1.8v,正向工作电流为2mA-15mA。在显示驱动方式中,采用动态扫描,当扫描公共端时,LED驱动器分别对应a-g的显示段,LED就能正常显示。 LED显示器结构基本的半导
13、体数码管是由七个条状放光二极管芯片排列而成的。可实现0-F的显示。其具体结构有放射罩式,条形七段式及单片集成式多位数字式等。 2.LED显示器动态扫描驱动电路各单元电路设计2.1 计数器与译码器的设计 计数器的设计:计数器选用集成电路74ls190进行设计比较方便。74ls190时同步四位十进制加减计数器,它具有同步清零,同步计数的功能。74ls190的引脚图如下图所示: 图2-1-1译码及显示电路的设计 为了能以十六进制数码直观地显示数字系统的运行数据,目前广泛使用了七字符显示器,或称七段数码管。这种字符显示器由七段可发光的线段拼接而成。常见的七段字符显示器有半导体数码管和液晶显示器两种。
14、半导体数码管的每个线段都是一个发光二极管。因此,也把它叫做LED数码管。发光二级管使用的材料与普通的硅二极管不同,半导体中的杂质浓度很高。当外加正电压的时候,大量的电子和空穴在扩散的过程中复合,其中一部分电子从导带跃进到价带,把多余的能量以光的形式释放出来,便发出一定可见光半导体数码管和液晶显示器都可以用ttl或cmos集成电路直接驱动。为此,就需要使用显示译码器将bcd代码译成数码管所需要的驱动信号,以便使数码管用十进制数字显示出bcd代码所表示的数值。 图 2-1-22.2 一位共阳极LED动态驱动电路设计 动态驱动是将所有数码管使用一个专门的译码驱动器,使各位数码管逐个轮流受控显示,这就
15、是动态驱动。由于扫描速度极快。显示效果与静态 图2-22.3 七段数码管的设计 七段数码管在工业控制中有着很广泛的应用,列如用来显示温度,数量,重量,日期,时间,还可以用来显示比赛的比分等,具有显示醒目,直观的优点。七段数码管的具体工作原理可叙述如下:首先将数码管要显示的8分为七段如图: 图2-3 这七段分别由七个发光二级管构成,根据数码来决定七段中的中的某一段或几段进行显示,例如如果数码为0,则显示0.1.2.3.4.5段,即点亮1.2.3.4.5段。对于其他的数字我们以此类推。 七段数码管可分为共阴极和共阳极的数码管,对于共阴极的数码管只有当其输入端输入高电平时二极管才会发光;而共阳极的数
16、码管只有当输入端输入低电平时二极管才会发光。共阴极内部每个发光二极管的阴极被接在一起,成为该段的公共选通线;发光二极管的样机则成为段选线。如果要显示某个数字只需相应选中对应的段选线。对于共阳极数码管,则正好相反,内部发光二极管的阳极接在一起,阴极成为段选线。这两种数码管的驱动方式是不同的。当需要点亮共阳极的数码管的一段时,公共端需接高电平,该段的段选线接低电平。从而该段被点亮,当需要点亮共阳极数码管的一段时,公共段需接低电平,该段的选短线接高电平,该段被点亮。 3.LED 显示器动态扫描驱动电路系统设计3.1整体电路图及工作原理 图3-1 LED显示模块原理:LED有段码和位码之分,所谓段码就
17、是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。3.2 VH
18、DL程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_signed.all;entity scan_seg is port(clk3,clk5:in std_logic; rst: in std_logic; seg_da:out std_logic_vector(7 downto 0); seg_sel:out std_logic_vector(2 downto 0) ); end scan_s
19、eg;architecture ado of scan_seg is signal seg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf0:std_logic_vector(3 downto 0); signal seg_buf9,seg_buf10,seg_buf11:std_logic_vector(3 downto 0); signal seg_buf5,seg_buf6,seg_buf7,seg_buf8:std_logic_vector(3 downto 0); signal seg_cnt:std_logic_vector(2 downto 0);
20、 signal seg_temp:std_logic_vector(3 downto 0); signal clk:std_logic; begin process(clk5) begin if clk5'event and clk5='1' then clk<=not clk; end if; end process; process(clk5,rst) begin if clk5' event and clk5='1' then if rst='1' then seg_buf1<="0100"
21、seg_buf2<="0101" seg_buf3<="0000" seg_buf4<="0010" seg_buf5<="0010" seg_buf6<="1000" seg_buf7<="0010" seg_buf8<="0000" seg_buf9<="0001" seg_buf10<="0000" seg_buf11<="0010"
22、; else seg_buf1<=seg_buf11;seg_buf11<=seg_buf10;seg_buf10<=seg_buf9;seg_buf9<=seg_buf8;seg_buf8<=seg_buf7;seg_buf7<=seg_buf6;seg_buf6<=seg_buf5;seg_buf5<=seg_buf4;seg_buf4<=seg_buf3;seg_buf3<=seg_buf2;seg_buf2<=seg_buf1 ; end if; end if; end process; process (clk3,rs
23、t) begin if clk3' event and clk3='1' then if rst='1' then seg_cnt<="000" else seg_cnt<=seg_cnt+1; end if; end if; end process; seg_sel<=seg_cnt; process(seg_cnt,seg_buf4,seg_buf5,seg_buf6,seg_buf7,seg_buf8,seg_buf9,seg_buf10,seg_buf11) begin case seg_cnt is whe
24、n o"0" => seg_temp<=seg_buf1; when o"1" => seg_temp<=seg_buf2; when o"2" => seg_temp<=seg_buf3; when o"3" => seg_temp<=seg_buf4; when o"4" => seg_temp<=seg_buf5; when o"5" => seg_temp<=seg_buf6; when o&qu
25、ot;6" => seg_temp<=seg_buf7; when o"7" => seg_temp<=seg_buf8; when others=> seg_temp<="XXXX" end case; end process; process(seg_temp) begin case seg_temp is when "0000"=> seg_da<="00111111" when "0001"=> seg_da<=&qu
26、ot;00000110" when "0010"=> seg_da<="01011011" when "0011"=> seg_da<="01001111" when "0100"=> seg_da<="01100110" when "0101"=> seg_da<="01101101" when "0110"=> seg_da<="01
27、111101" when "0111"=> seg_da<="00000111" when "1000"=> seg_da<="01111111" when "1001"=> seg_da<="01101111" when "1010"=> seg_da<="01110111" when "1011"=> seg_da<="0111110
28、0" when "1100"=> seg_da<="00111001" when "1101"=> seg_da<="01011110" when "1110"=> seg_da<="01111001" when "1111"=> seg_da<="01110001" when others=> null; end case; end process;end ado;3.3
29、电路参数计算所谓动态驱动实际就是分时点亮不同位置的数码管,由于人眼的惰性,当数码管熄灭的时间小于40ms时,给人们感觉就是一直被点亮。发光强度:由于数码管各段在同样的驱动电路时,各段正向电流不相同,所以各段发光强度不同。所以段的发光强度不同。所有段的发光强度值中的最大值与最小值之比为发光强度比。比值可以再1.5-2.3之间。最大不能超过2.5.R1-R7电阻值:由于三极管至于导通状态时的饱和电压v非常小可以不计,所以从电源减去7段LED的电压降2v以及发射极极间电压0.6v余下的就是加在R7-R1上的电压,为了使流过LED的电流为30mA。4.Quartus 运行调试 4.1 时序仿真波形图(
30、1) 打开波形编辑器。选择“file"菜单中的”new"项,在“new"窗口中选择”other files“中的”vector waveform file"项,打开空白编辑器。(2) 设置时间仿真区域。将仿真时间设置在一个比较合理的时间区域。选择“edit”菜单中的“end time.”项,在弹出的窗口中的“time"栏处输入“100”,单位选择“ms”,将整个仿真区域的时间设为10ms,单击“ok”按钮,结束设置。(3) 编辑输入波形。单击选中波形编辑窗口的时钟信号名“clk1”,使之变成蓝色条,再单击左列的时钟设置键,打开窗口,将“clk”
31、的周期设定为0.5ms,“phase”相位设为默认为零,“duty cycle”占空比设为默认值50%。(4) 设定数据模式。单击信号“light”旁边的“+”号,可以打开信号的各个分量,查看信号的每一位。如果双击“+”号左边的信号标记,可以打开该信号格式设置的对话框。(5) 波形文件存盘。选择“file”菜单下的“save”命令,或直接单击工具栏上的按钮即可。(6) 仿真器参数设计。选择“assignment”菜单下的“setting。”项,在“setting”窗口中左侧“category”栏中选择“simulator”项,打开窗口,在“siumlation mode”项目下选择仿真激励文件
32、“light.vwf”。在“simulation period”栏中选择“run simulation until all vector stimuli are use”即全程仿真;确认选中“simulation coverage reporting”;毛刺检测“glitch detection”为1us;功耗估算“generate signal activity file”。把所有的参数都设定好了之后,就可以观察相关的波形了。 图4-142硬件逻辑验证(1)确认已经打开了工程light。(2)打开“assignments”菜单下的“pins”命令,打开引脚锁定窗口。(3)用鼠标双击“to”栏
33、中的“<<new>>”,再出现的下拉栏中选择本工程要锁定的端口信号名(例如clk),然后双击对应的“location”栏中的“<<new>>”,在出现的下拉栏中选择对应端口信号名的器件引脚(例如对应clk,应选择29)。 (4)按前面提到的引脚信息添加锁定引脚,全部输入后单击工具栏上的保存按钮,保存引脚设置。当冒个引脚锁定后,我们在“to”栏下看到该引脚将是斜体显示的,其他未锁定引脚则是正体显示。保持完毕,必须再编译适配一次,才能将引脚锁定到最终的下载文件中,此后就可以将编译好的sof文件下载到实验系统的FPGA中去了。 图4-2 4.3 调试结
34、果分析 根据老师所给的提示内容,结合一些自己搜集的资料,数码管上的学号将从右至左依个移动,循环显示。4.4 调试中出现的问题及解决方法 调试过程中遇到了几个问题,下面一个个分析:首先,在调试的过程中 ,发现自己的程序在编译的过程中有许多的错误,通过机子的提示,一步步改正,最终调试成功,但是在我将硬件部分接到计算机上的时候,数码管上的数字并不能循环显示,而只能循环一个数字。因为这个问题我想了半天,发现是程序中的循环语句有问题,但是通过几种改进都没什么用,依然不行。结果在老师的帮助下 ,改动了一下程序,成功了。 还有一个问题就是我在生成时序波形图的时候,编译提示程序有问题,我当时就蒙了,程序都调试
35、成功了怎么会在这个时候出现错误,重复试了几次但是还是没什么用,正好一位同学看到了,也遇到了同样的问题,原来是自己在软件使用的过程中打开的东西太多了,导致软件发生错误。5 设计总结 这次课程设计上网查的资料比较多,所以我觉得这次设计做起来比较顺手,基本上没遇到很多自己很难解决的问题。但是这次试验收获也不少,首先,由于要自己查资料在自己结合着写程序,所以要对程序要一个比较深的了解,基本上每一句都要弄懂,就是因为这我在程序上花了不少时间,把程序弄得很懂,这在一方面让我复习了一下EDA的知识,也加强我对EDA的学习。然后就是这次设计用的是Quartus这个软件,这个软件我觉得就是英文版的很难学习,应该
36、经常使用才行,正好这次试验需要用这个软件,让我对英文软件有了起码的信心。反正通过这次设计,对EDA这个课程有太大了帮助了。这次设计也体现了很多不足之处。首先,对软件的使用还不是特别熟练如实验中软件平台不能装载程序到硬件中时,开始不知道如何去设置软件参数;其次,对于VHDL语言的掌握程度还有所欠缺,不过在老师和同学的指导下还是顺利的完成了本次课程设计。对Quartus II软件的应用加深了印象,总的来说这次设计收获还是不小的,学到了不少的知识。6. 参考文献1 郑燕,赫建国. 基于VHDL与Quartus II软件的可编程逻辑器件应用于开发.北京:国防工业出版社,2021.2 Altera. P
37、in Information for the Cyclone III EP3C10 Device. San José:Altera Corp,2021.3 潘松,黄继业.EDA技术实用教程VHDL版.北京:科学出版社,2021. 教师见习报告总结期待已久的见习已经结束了,在龙岩三中高中部见习听课,虽然只是短短的两个星期,但感触还是蛮深的,以前作为一名学生坐在课室听课,和现在作为一名准教师坐在课室听课是完全不同的感受,感觉自己学到了一些在平时课堂上学不到的东西。在这里,我获得的不仅是经验上的收获,更多是教学管理,课堂教学等的理念,以及他们带给我的种种思考。教育见习实践过程:听课。教育见
38、习的主要目的是让学生在指导教师的引导下,观摩教师上课方法、技巧等。听课是教育见习的主要内容。我院规定在一周的见习中需完成至少6课的见习任务。我在教师的安排指导下,分别对高一、高二物理专业课型为主,其他课型齐头的方式,积极主动的完成了听课任务,收到良好的效果。我听的第一节课是高二(8)班,这是一个平衡班,水平不如实验班高。在上课前。科任老师已经跟我说了这个班的纪律是比较差的,而且成绩也不是很好。在我听课期间,确实有几个学生在课堂上说话,但是我发现了一个有趣的现象,这个现象我在往后的几个班都发现了,就是绝大部分的学生的学习热情都好高涨,积极举手发言,积极参与课堂活动。我跟老师们提起这个现象的时候,
39、科任老师就跟我说,一个班里不可能所有的学生都能全神贯注地听完一节课,所以作为一名教师,应该想办法吸引学生的注意力,调动的积极性,比如可以以小组为单位,以抢答计分的形式调动学生的积极性,这样课堂气氛就会活跃起来了。在为期两周的见习工作中,我真的有很大的感触,我第一次感受到自己已经从一名学生向一名教师靠近,走在校园里,每当有学生叫我一声老师,我在感到无比自豪的同时,还感受到了自己的责任。见习工作结束了,我要回到学校继续我的学习了,但是我会好好记住我从*中学学到的一切,并应用于我的专业学习中去。一、教学管理理念 在龙岩三中,从领导阶层到一位普通的科任老师,都秉承以学生为主体的宗旨进行学校的管理,进行教学工作的开展。作为一个课程改革的示范学校,一个教育实验基地。这所学校鼓励着老师做各种研究,各种改革。每个班主任都有着自己的管理经验与管理宗旨。有了这种思想的自由,自然这里也就充满着探索与尝试,从而有所创造与进步。在我见习的班集体中,班主任对他的学生说:“我要让你们成为学习型的管理者,也是管理型的学习者。”这样一句简单的话,让我感到这
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- GB/T 45434.3-2025中国标准时间第3部分:公报
- 技术服务合同书电子
- 合作投资合同协议书
- 公司副总经理聘用合同
- 委托外部研发合同
- 工程建筑施工合同
- 大型商场承包服务合同
- 回购居间合同协议书范本
- 养老合同终止协议
- 返回现金合同协议
- 北京市消防条例解读
- JTG D70-2-2014 公路隧道设计规范 第二册 交通工程与附属设施
- 风电基础施工合同
- 升降压斩波电路
- 福州高新区高层次人才认定申请表
- 第2课 我给家人泡杯茶(课件)-四年级上册劳动鄂教版
- GB/T 17395-2008无缝钢管尺寸、外形、重量及允许偏差
- 全文《中国式现代化》PPT
- 必修二英语单词默写
- 新人教版四年级数学下册总复习专题一《四则运算及运算定律》课件
- 宋词欣赏《虞美人·听雨》课件
评论
0/150
提交评论