数字功放的设计_第1页
数字功放的设计_第2页
数字功放的设计_第3页
数字功放的设计_第4页
数字功放的设计_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 本科生毕业论文(设计)题 目: 数字功放的设计 姓 名: 江丹 学 院:专 业:班 级:学 号:指导教师:2014 年5月 25 日 目录引言21功放简介与发展现状31.1 功放的种类31.1.1 A类功率放大器31.1.2 B类功率放大器31.1.3 AB 类功率放大器31.1.4 D类功率放大器41.2数字功放的发展现状42 数字功放的基本原理及电路组成52.1 数字功放的工作原理52.2 数字功放的电路组成63 各模块电路设计73.1 前置放大电路73.2 三角波产生电路83.3 比较器电路93.4 驱动电路103.5 功放与低通滤波电路113.6 直流稳压电源134 功能仿真与数据分

2、析134.1各电路仿真结果134.1.1前置放大信号134.1.2 三角波信号134.1.3 PWM码144.1.4 经过功放管的PWM码144.4.5还原出的音频信号154.2 数据计算与分析154.2.1 电压放大倍数154.2.2 效率154.2.3 通频带宽度165数字功放干扰抑制166 D类功放的发展与技术展望176.1 D类功放的不足176.2 D类功放的最新发展T类功率放大器17结 论17致 谢18参考文献18附录19 数字功放的设计 电子信息工程专业学生 摘要:在日常生活中,我们已经感受到了电子技术给我们带来的便捷。在我们使用的各类电子设备中,数字功放正发挥着其不可替代的作用。

3、所以设计出功能优异的数字功放已经是各大电子器件制造商的迫切任务。本文从数字功放的基本原理出发,着重介绍了它的各个电路组成部分。利用Multisim软件对所设计的电路进行功能仿真,并且达到了预期的效果。在实际电路中,针对其产生的电磁干扰提出了一些抑制方法。最后数字功放的发展趋势进行了简要描述。关键词:PWM码 门驱动电路 滤波电路 电磁干扰 引言 随着科学技术的不断发展,各种各样的电子产品层出不穷,例如笔记本电脑、移动通信终端、音箱等。这些事物的出现极大的丰富了我的日常生活,给我们的工作带来了很多便捷。然而,要使这些产品正常工作,数字功放是不可或缺的。 数字功放其功放管的工作在导通和截止状态,如

4、果输入信号使功放管处在导通状态,此时在理想状态下晶体管的内阻近似为零,所以管子两端没有压降,自然就不会产生功率消耗;如果输入信号使晶体管处在截止状态,那么晶体管的内阻就为无穷大,流经管子的电流就为零,也没有功率消耗。所以,晶体管在控制电路工作时是不会消耗功率的,这正是功放管能够达到比较高的效率的原因之一。正是由于数字功放的优越性能,所以它被广泛应用于电子设备中。因此,设计出符合要求的数字功放就显得格外重要。 1功放简介与发展现状1.1 功放的种类1.1.1 A类功率放大器 A类功放又称为甲类功放,如图1.1(a),对于此放大器的功率输出管,必须将其Q值设置在直流负载线的中点部分,因为这部分的线

5、性最佳。这样输人信号在正负两个半周期内都能够使放大管在线性放大状态下工作,这时其导通角为360°。随之带来的问题就是能量转换效率很低,电路的最高效率也只有25%,并且需要两种晶体管交替互补才能使整个周期都处在放大状态,也不可避免地产生交越失真。在没有输入信号时,对于A类功放电路任然需要消耗能量,所以此时能量转换效率为零。正是因为一个A类放大器的能量转换效率低,因此它主要用于电压放大,在功率放大器电路中少用。1.1.2 B类功率放大器 B类功率放大器又叫乙类功放如图1.1(b)。将其静态工作点Q设置在电压最大和电流为零的截止点上,这样它的导通角就为180°。工作的方式为,当输

6、人信号时,输入信号的正半周处在管子的导通区从而被放大,而负半周就被截止了。也就是说,B类功放只能将的输入信号的正半周期进行功率放大,由于此电路的导通角只有一个输入信号周期的一半,只有用两只管子组成互补推挽级电路才能完成放大,只用一只管子是很难对音频信号进行放大的。在工作时,其中一只管子将正半周信号进行放大输出,另一只管子则将负半周信号放大输出,这样组成一个完整的信号输出。但是问题出现了,两个半周信号在正负周期的临界点处由于衔接得不是太好就易出现信号失真。由于这种失真发生在一个信号的零电平处,它被称为过零失真。在放大音频信号时,播放器就会产生开关噪声。静止时工作电流为零,并且必须采用推挽工作方式

7、是B类放大器的重要特征。值得注意的是,它的效率可以达到70-80,这在功放电路中是很高的,B类功放的设计思路可以运用于其它功放的改进电路当中。 1.1.3 AB 类功率放大器 此类功放在设计时将工作点Q设置在A类和B类之间并且相对靠近B类处,如图1.1(c),这样其导通角就为200°左右,像B类功放一样,由于单管不能完整地对输入信号进行放大,所以也就必须采用互补推挽工作模式。正是由于互补的两只管子它们的导通角均大于180°,从而把输出信号合并在一起就会产生一个重叠区。两只管子工作的切换点正好就处在这个重叠区中,所以就不担心因衔接不好而产生的开关噪声。导通角大于180

8、6;,采用互补推挽工作是该类功放的显著特征。需要提及的是,在输人信号很小,以至于小到在多余的20°内放大都不失真时,管子的工作状态就与A类相同了。此时AB类功放就成为A类放大器了。所以AB类放大器非常适合对小信号进行放大。它的工作条件是必须将两只管子配对使用,来抑制过零失真。1.1.4 D类功率放大器 D类功率放大器是基于离散时间放大器设计思想的,人们对它研究近一个半世纪的时间,直到1970个金属氧化物半导体场效应晶体管(MOS)后,实现了开关器件的高性能,开发了一个D类功率放大器频带宽,这才研发出宽频带的D类功率放大器。PWM调制方式非常直观,信号幅度越高时,脉冲宽度就越宽。同时低

9、通滤波器对信号具有积分作用,正脉冲幅度宽,积分的时间长,输出的电压就相应增高。这样,采用一个LC积分电路,就能将放大的PWM信号转化成模拟信号,实现音频信号的数模转换。因为这些数字信号变换于集成电路中进行,无需外部设备的帮助,且它不需要一个统一的格式,所以各厂家可以用最好的方法来开发,使用者只需将模拟信号输入然后在开关管恢复输出信号。输入信号输入信号输入信号输出信号输出信号输出信号 (a)A类 (b)B类 (c)AB类 图1.1 功放输入输出特性1.2数字功放的发展现状 功放的研制已经具有一个世纪的历史,伴随着大规模集成电路的不断发展,各大集成电路制造商正研制出一些性能优越的数字功放。它还为消

10、费电器、音响和通讯厂家提供OEM技术,这些产商在其官方网站上提供全面而详细的技术说明文件、产品说明、图片等。索尼、夏普、东芝、苹果、爱立信等都在自己的高级产品中运用数字功放,如苹果电脑的哈曼·卡顿音箱的放大器就采用了T类放大芯片。 第一代D类功放的出现证实了功放的概念与优越性能,但距离市场化却经历了很长的时间。1999年底,丹麦和美国合资的TaeTAudio公司推出的高保真数字功率放大器的创新,这是D类功率放大器市场化的象征。 第二代D类功放由于经过体积改造,功耗特别低,价格也十分合理而受到广大消费者的青睐。第二代D类功放制造商生产出了一系列产品,并在第一代D类功放的基础上将相对简单

11、的PWM和外置滤波器以及集成的输出级组合在一起。第三代D类功放其特点是更小和更简单,这是OEM生产者与D类芯片设计者之间共识。OEM生产商对市场的深入了解,反馈的芯片制造商,解决在过去设计的重要缺陷,这也使得新一代的产品与市场需求一致,更容易被消费者所接受。2 数字功放的基本原理及电路组成2.1 数字功放的工作原理 D类功率放大器的工作方式主要是根据输入信号幅度的变化在时间轴上进行量化,从而将输入信号变换为数字信号,这种模数变换通常可以采用脉冲宽度调制和调制等方式,其优点是能改善嗓声特性和实现宽带化。首先要将输入的模拟信号通过变换转化成脉冲宽度调制码PWM或脉冲密度调制码PDM。要得到PWM码

12、只需要将原输入信号与用一个高频三角波进行电压比较即可。当输入的模拟信号大于三角波的幅度时,比较器输出高电平,当三角波电压上升到大于输入的模拟信号时,比较器就会输出低电平。可见输入信号电平越高那么对应输出的脉冲宽度就宽,输入信号电平越低那么对应输出的脉冲宽度就窄,这种根据输入电平高低而决定的输出脉冲宽度的码制就是所谓的PWM码。如果采用三角波的频率更高,那么可以将PWM码转化成脉冲密度调制PDM码,很明显输入电平高那么脉冲密度就大,输入电平低则对应的脉冲密度就小。这种PDM码与数字音频中常用的1 bit调制很相似,所以在集成数字功放芯片中,更多的是采用PDM码。然后将PWM码或PDM码通过门驱动

13、电路,而门驱动电路可以控制开关功放管的导通和截止,在开关功放管输出端就得到与PWM或PDM相类似的脉冲信号,并且输出脉冲幅度可以达到电源电压,电流驱动能力非常强这样就降低了后续电路的功耗。最后,将脉冲信号转换成模拟信号,我们可以设计一个LC低通滤波器,它可以把一个脉冲宽度和密度转换为相应的电压的大小。当脉冲宽度大,电容器的充电时间较长,对应高的积累电压,反之电压就低,从而把加载脉冲中的模拟信号还原出来。如果将数字音频信号进行放大,则与三角波信号比较就可以被消除,采用数字信号处理技术将数字音频转换不同格式为PWM或PDM编码,其它的步骤与放大模拟信号是类似的。由于缺乏快速的大功率开关管,并且在大

14、功率时LC低通滤波器的要求很高加之受到高频辐射等问题的影响,故在设计出此种电路的相当长的时间后,却没有很快面向广大市场。近年来随着电子器件行业的飞速发展,快速低电压控制大电流的MOSFET管已经相当普遍 ,开关特性、截止时的漏电流和导通时的饱和压降都大为提高,器件的问题得到解决。 比 较 器 负 载 门 驱 动 输入信号开 关 功 率 管 滤 波 电 路三角波信号 图2.1 数字功放基本框图2.2 数字功放的电路组成 如果输入的信号是模拟信号,这时需要将其通过一个前置放大电路,提高其电压增益,然后将其输入到电压比较器中与三角波信号进行比较,从而产生PWM信号。再经过门驱动器件的驱动后控制开关元

15、件的开启和关闭。这时得到放大了的PWM信号。要想将其恢复成模拟信号,就必须这个信号输入到相应的LC低通滤波器中。当输入的是数字信号,那么将其输入到比较器中与三角波信号进行比较就可以省略了。其后续的原理与模拟信号输入的原理是相同的。如图2.2所示的就是数字功放的电路组成。开关元件数字音频编码变换门驱动 PDM码 PWM码取样点波形发生器放大后的模拟信号低通滤波器开关放大后的PWM或PDM模拟信号输入数字信号输入 图2.2 数字功放电路组成3 各模块电路设计3.1 前置放大电路 如图3.1所示的前置放大电路,为了提高电压增益,必须加上前置放大器电路,它不仅能使放大器的增益连续变化从1到20,并可以

16、增加比较器的精度。如果功放输出的最大不失真功率为1W时,那么其8负载上的电压Vp-p=8V,此时送给比较器音频信号的Vp-p值应为2V,则功放的最大增益约为4(事实上,最大不失真功率放大器是略大于1W,电压增益大于4)。所以就必须对输入的模拟音频信号进行放大,使其增益大于5。本设计采用宽频带LM393来组成同相宽带放大器。采用同相放大的目的是容易使输入电阻Ri大于等于 10 K。同时,采用满幅运放可在降低电源电压时仍能正常放大,又由于要求输入电阻Ri大于1OK,所以R1=R2=51K,通过计算得Ri=25.5K,反馈电阻采用可变电阻R4,并取R4=20k,取反相输入端电阻R3为2.4k,则前置

17、放大器的最大增益Av为9.3。调整可变电阻R4的阻值使其电压增益约为8,那么整个功放的电压增益就可以实现0-32内可调。又考虑到前置放大器的最大不失真输出电压的幅值Vom小于2.5 V,所以取取Vom=2.0 V,则要求输入的音频最大幅度Vim小于250mV,如果超过此幅度则输出会产生削波失真。那么就难以通过功放还原出不失真的音频信号。 图3.1 前置放大电路3.2 三角波产生电路 D类功率放大器电路,三角波信号作为载波信号的频率是一个非常重要的技术参数,根据采样定理,载波频率高则容易消除功率放大器的高频干扰,且容易设计出符合要求的低通滤波器,因此在这种情况下应尽可能提高三角波信号的频率,这样

18、既可以减少信号失真,又可简化后续滤波器设计。但同时,三角波的频率增大也会导致功率器件的开关电路的开关频率的增加,产生了开关损耗,大大降低了D类功率放大器的转换效率。 根据奈奎斯特抽样定理:无论是对模拟信号还是数字信号,只有在采样频率fs大于等于信号中最高频率fmax的2倍时,才能不失真地还原出原信号,但在实际应用中通常使采样频率为被采样信号频率fmax的510倍。当输入音频信号上限的上限频率为10KHz,开关频率最低为20KHz时,为了保证功放系统的精度,那么载波信号的频率就要大于100KHz。但在实际电路中,必须考虑器件工作频率限制,载波信号的频率和音频信号的频率需要满足以下关系:三角波频率

19、为音频信号频率的10到20倍。其三角波产生电路如图3.2所示 图3.2 三角波产生电路3.3 比较器电路比较器电路是D类功率放大器中非常重要的一部分,它将经过放大的输入信号与三角波载波信号进行比较,产生PWM脉冲信号。对输出的PWM信号来说,由于其脉冲宽度变化能够体现输入信号的幅值信息,这就要求比较器具有非常小的分辨率,以正确比较输入的模拟信号和三角波信号。同时,PWM脉冲信号的高低电平之间的转换时间要短,否则经过功放输出的信号会出现明显的交越失真,从而得不到预期的效果。其电路组成如图3.3所示。当比较器的同向端电压大于反向端时,其输出为高电平;反之,比较器的输出为低电平。但是在实际电路中都存

20、在不可避免的误差,高低电平的转换存在一个对应于输入电平中间点的亚稳态区域,需要尽量减小亚稳区的宽度来提高比较器的工作性能。当提高比较器的增益时,那么其精度也会随之相应地增高。在动态比较器,时间延迟指的是传输延时比较器的输入和输出之间的响应,在输入信号幅度的变化会导致传输延迟的变化,输入激励较大时会使延时短,当然,输入激励水平提高到一个最大值,超过这个上限输入水平就无法对延迟产生影响,在这种状态下的电压变化,称为摆率;当输入激励较小以至于接近比较器的最小输入电压差时,那么就重点关注传输延时。在任何PWM调制的系统中,这两种情况都会出现,因此要同时考虑传输延时和摆率。此外在信号处理中,还要考虑噪声

21、的影响,所以就必须采取有效的措施降低比较器带来的噪声,并相对应地提高电源电压抑制比。 图3.3 比较器电路3.4驱动电路驱动电路必须具有足够的驱动能力,从而很好滴对功率开关管的寄生电容进行充放电,达到控制功率开关管导通和截止的目的。本驱动电路原理图如图3.4所示 在脉冲波形变换中通常使用施密特触发器(CD40106),在性能上它有两个重要的特点: 施密特触发器具有两个阀值电压,输入信号从低电平上升到高电平的过程中对应的输入转换电平与输入信号从高电平下降到低电平过程中对应的输入转换电平是不同的。由于正反馈系统具有加速状态转换的功能,所以在添加了正反馈系统的CD40106可以使输出电压的边沿变化很

22、陡。普通门电路都有一个阈值电压,输入信号在阀值电压左右变化时电路的状态将随之发生变化。普通门电路的电压传输特性曲线是单调的,施密特触发器的电压传输特性曲线是滞回的。这就大大降低了在阀值电压周围由于输入电压的波动而带来的输出波动,这在很大程度上降低了干扰。 图3.4 驱动电路3.5 功放与低通滤波电路 图3.5给出了功放与滤波电路,从前面的叙述可以知道,这部分电路是为了将PWM码进行放大和并且还原出音频信号的,为了得到最高的转换效率,我们采用了H桥互补对称输出电路。H桥型互补对称输出电路具有如下优点: 各电流变换器单元具有相同的结构,它很容易实现模块化设计;直流相互独立;各变流器单元工作对称。由

23、于普通的晶体三极管需要较大的驱动电流来驱动工作,这使得整个功放的静态损耗及开关过程中的损耗较大。而场效应晶体管的驱动电流小,低电阻和良好的开关特性,故它是一个高速VMOSFET管,由于其输出功率大于1W,仍属于小功率输出。故可选用功率小,可以快速驱动的对管IRFD120和IRFD9120 VMOSFET对管的参数能够满足上述要求。 低通滤波器可以使低频信号通过,使高于截止频率的信号衰减或截止。对于不同的滤波器而言,每个频率的信号的减弱程度是不同的。本设计中的滤波器采用四个相同的4阶巴特沃思低通滤波器。 图3.5 功放与低通滤波电路 3.6 直流稳压电源 由于此电路需要采用5V的直流稳压电源来供

24、电,所以必须设计出符合要求的电源电路。小功率直流稳压电源由电源变压器、整流、滤波和稳压电路四个部分组成。将有效值为220V、频率为50HZ的单相交流电通过电源变压器将行降压,使其峰值电压达到5V左右,整流电路将降压的交流电压变为直流脉冲电压,再通过滤波电路得到稳定的直流电压。由于随着电网电压波动、负载和温度的变化,这些都会导致电压的波动,所以要将信号经稳压电路来保证输出的直流电压的稳定,在这里集成稳压管起着稳定电压的作用。 Vo220V50HZ 图3.6 直流稳压电源4 功能仿真与数据分析4.1各电路仿真结果4.1.1前置放大信号 图4.1.1 放大前后信号4.1.2 三角波信号 图4.1.2

25、 三角波信号4.1.3 PWM码 图4.1.3 PWM码4.1.4 经过功放管的PWM码 图4.1.4 经过功放管的PWM码4.1.5还原出的音频信号 图4.1.5 还原出的音频信号4.2 数据计算与分析4.2.1 电压放大倍数 加入500mV正弦波后,经前置放大后输入p-p=750mV 输出p-p= 3.640 V Av =Uo / Ui 将数据带入中,得Av=4.854.2.2 效率 输入i=200mV,整体电路全部采用5V单电源,双端输出各接一8负载 Pi=Ui × I Po=U / R =Po / Pi 带入数据中,得Pi=1.0W,Po=0.726W 由,则 = ×

26、;100% = 72.6%4.2.3 通频带宽度 f L = 20 Hz f H = 20.5 KHz5数字功放干扰抑制 从电磁兼容的角度来看,数字功放的功率管工作在开关状态,这就是一个比较强的干扰源。数字功率放大器采用的开关电源技术,不可避免地产生电磁干扰。而针对开关电源的电磁干扰的抑制方法,不是本文主要探讨的重点,故在此就不做过多地叙述。但是,在实际工作中,我们发现有些数字功放之所以产生电磁干扰,其原因为PWM波。所以在此我们将重点讨论如何抑制PWM板的电磁干扰。 工作在开关状态的功率管,其频率高电流大,非常接近电源部分。因此,对于使用开关电源供电的数字功放,干扰和纹波系数都比较大,所以必

27、须重点考虑元器件在PCB上排列的位置,并且要尽量使各部件之间的引线缩短,从而降低干扰。在总体布局上,要合理分开模拟信号、数字信号和噪声源这三种信号,使它们之间的藕合最小干扰最小。此外,直流稳压电压中,还要考虑电源变压器的方向性,使之对电路产生的辐射最小。针对电磁场辐射较强的元件和对电磁感应较敏感的元件,应采取相应的屏蔽措施。一些具有高的电位差的元件或导线,应使它们尽量远离,以免放电发生意外短路。这些都是我们在设计电路时必须考虑的因素,为的是使数字功放具有比较高的保真度。 6 D类功放的发展与技术展望6.1 D类功放的不足 (1)输出功率晶体管并不是理想意义上的开关,两只管子不能很好地相匹配,这

28、就会导致畸变的产生。 (2)在输出功率晶体管的开启和关闭过程中,接地电位的变化显著,这会增加噪声,严重时会引起信号失真。 (3)功率输出电路是采用两只功率晶体管接成的桥路,其中一个功率晶体管导通另一个封闭的,之间可以有死区存在,造成失真。 (4)采用低通滤波器把放大的PWM信号转化成模拟信号时,不可能彻底滤除脉宽调制的载波,也就是三角波信号,这是造成失真的一个重要因素。6.2 D类功放的最新发展T类功率放大器 由于D类功率放大器存在很大的缺陷,美国Tripath公司研发出了一种称为数字功率处理技术(DPPTM),它就是T类功率放大器的核心技术。与D类功放有差别的是,它所采用的并不是脉宽调制,它

29、将把通信技术中处理小信号的预测算法及适应算法用到信号处理当中。音频输入信号经数字信号处理技术后,控制功率晶体管的开启和关闭,从而避免了采用PWM技术的D类功率放大器的缺点。可以说,DDPTM技术的问世,是数字功放发展史上的一座新的里程碑。 对于T类功率放大器,功率管的开关频率是可以改变的,不同于采用相对固定的开关频率的D类功率放大器,无用的分量或噪声功率谱不集中在窄频带的两侧,而分布在很宽的频率,波形和T类功率放大器的频谱波形膨胀是相似的,因此功率密度不高,这就极大地降低了对输出低通滤波器的要求,易于制作出合适的低通滤波器。在国外,生产T类功放的电子公司已经有很多,技术也不断更新,国内虽然在这

30、方面起步较晚,但是近年来发展速度迅猛,并且已经有相关企业开始生产T类功率放大器。例如,夏新电子股份有限公司,其产品已进入市场。有一点可以相信,随着数字技术的不断发展,数字功放将给我们的生活增添更多的精彩。结 论数字功放是在A类、B类、AB类功率的基础上研制出来的,大规模集成电路的发展更促进了数字功放的更新和改进。数字功放的基本原理就是PWM原理,它与以往的功率放大器相比有着优越的性能。本设计是根据数字功放的基本原理、电路组成、干扰抑制、功能仿真、技术展望等方面来进行叙述的,其中着重介绍了数字功放的组成电路。目前,相关的数字功放已经相当成熟,由于更多的专业人员的加入,数字功放技术也得到了不断完善

31、。针对D类功放的一些缺陷,相关的电子器件制造商已经开始研发并生产处性能优越的T类功放。与D类功放相比,T类功放显示出其卓越的性能,但这并不代表着D类功放技术可以被抛弃,在我们研究新一代的功率放大器的时候,我们仍然需要对D类功放的相关技术有一个很好的认识。只有这样,我们才可以充分借鉴前人的研究成果,生产出更好的产品,造福人类。 致 谢从毕业设计选题到资料收集再到最终的论文写作,期间经历了很多的艰辛。从刚开始的毫无头绪到最后的成竹在胸,这一切都离不开丁西明老师的悉心指导,正是丁老师给予我的帮助才使我在规定的时间内完成毕业设计的写作。丁老师无论是工作期间还是在节假日休息的时候,都会在第一时间解答我的

32、问题,并且提出很多宝贵的建议,这使我的论文更加完善。在电路仿真的时候,丁老师对我所设计的电路的各个组成部分都进行了仔细地检查纠正,这样就让我得到了预期的结果。再此由衷地感谢。当然,大学四年里每个授课老师的教诲让我打下了坚实的专业基础,这也是我能完成此次毕业设计的重要原因,在此一并感谢。感谢你们!参考文献1黎燕.多功能网络数字音频功率放大器的硬件设计与实现.电子科技大学学位论文D, 2008:04-062郭远东.数字功放的电磁骚扰抑制方法和整改实例.电子工业出版社M.2012:70-733贵体翔.数字功放综述.实用影音技术J.2000:54-574龙泉.数字功放的派别与发展现状.实用影音技术J.

33、2007:35-425钱志远.数字功放原理和实际应用电路.电路实作J2005:54-566张杨.一体化数字功放模块的设计.中国科技信息J.2009:170-1717陈寿才.罗立红.袁力辉.数字功放的印制线路板设计.电子质量J.2005:72-738杜丁丁.数字信号发生器的设计.河北农业大学学报J2009:117-1209陈新国.D类功放的设计与分析.电子元件与材料J.2004:28-3010汪世文.使用NE555和LM393实现脉宽调制的D类功放.电子世界J.2011:45-4611泛高.新一代数字功放集成电路TAS5182.实用影音技术J.2012:122-13012庄磊.数字功放中的低通滤

34、波器.电声技术J.2004:65-7113姜立中.音频数字功放电路.电子世界J.2001:34-3914周培.数字功放核心技术的设计与论证,中外企业家J2013:03-0715陈启宇.数字音频功率放大器.电声技术J.2004:49-5216周全才,李斌.数字音频功率放大器的技术与现状.电子制作J.2000:119-12417李传忠.功放技术及发展趋势.音响技术J.2010:11-1618Hoyerby M.C.W. , Andersen M.A.E.,Carrier Distortion in Hysteretic Self-Oscillating Class-D Audio Power Am

35、plifiers: Analysis and Optimization,:J.Power Electronics, IEEE Transactions on(IF 4.08), 2009, Vol.2 (3), pp.714- 729IEEE,13-1519R Esslinger , G Gruhler , R W Stewart,Digital Audio Power Amplifiers using Sigma Delta Modulation Linearity Problems in the Class-D Power Stage,:J.PREPRINTS- AUDIO ENGINEE

36、RING SOCIETY, 2001, (5400)OCLC,112-11820Antunes V.M.E. , Pires V.F. , Silva J.F.A.,Narrow Pulse Elimination PWM for Multilevel Digital Audio Power Amplifiers Using Two Cascaded H-Bridges as a Nine-Level Converter,:J.Power Electronics, IEEE Transactions on(IF 4.08), 2007, Vol.2 (2), pp.425- 434IEEE,4

37、3-5021V M E Antunes , V F Pires , J F A Silva,Narrow Pulse Elimination PWM for Multilevel Digital Audio Power Amplifiers Using Two Cascaded H-Bridges as a Nine-Level Converter,:J.IEEE TRANSACTIONS ON POWER ELECTRONICS PE(IF 4.08), 2007, Vol.22 (2), pp.425-434OCLC,65-70附录元器件清单 名称 编号 型号 阻值 数量 电阻 R1 RTX-1/8W 51k 1 R2 RTX-1/8W 51k 1 R3 RTX-1/8W 10k 1 R4 WH7型微调电阻器 20k 1 R5 WH7型微调电阻器 2.2k 1 R6 RTX-1/8W 10k 1 R7 RTX-1/8W 10k 1 R8 RTX-1/8W 10k 1 R9 RTX-1/8W 10k 1 R10 RTX-1/8W 1k 1 R11 RTX-1/8W 10k 1 R12 RTX-1/8W 20k 1 R13 RTX-1/8W 10k 1 R14 RTX-1/8W 20k 1 R15 RTX-1/8W 10k 1 R

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论