版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、中北大学课 程 设 计 说 明 书 学生姓名:李奇 学 号:0706024147 学 院: 电子与计算机科学技术学院专 业: 微电子学题 目:利用按键开关控制米字管进行十进制数字显示 指导教师: 王红亮 职称: 讲师 2010 年 6 月 25 日目 录1. 课程设计目的22. 课程设计内容和要求22.1 设计内容22.2 设计要求23. 设计方案及实现情况23.1 设计思路23.2 工作原理及框图23.3各模块功能描述43.4 仿真结果53.5 实验箱验证情况5
2、4. 课程设计总结85. 参考文献81、课程设计目的 (1)学习操作数字电路设计实验开发系统,掌握米字管显示模块的工作原理及应用。(2)掌握组合逻辑电路、时序逻辑电路的设计方法。(3)学习掌握可编程器件设计的全过程。2、课程设计内容和要求2.1、设计内容 用VHDL语言编写程序,使用按键开关控制米字管进行十进制数字显示。 2.2、设计要求(1)学习掌握按键控制模块、米字管显示模块的工作原理及应用。(2)熟练掌握VHDL编程语言,编写按键控制模块的控制逻辑。(3)仿真所编写的程序,模拟验证所编写的模块功能。(4)下载程序到芯片中,硬件验证所设置的功能,能够实现简单图形的显示。(5)整理设计内容,
3、编写设计说明书。 3、设计方案及实现情况3.1、设计思路根据题目设计要求,将按键开关的状态经译码电路的控制并通过米字管显示出来,译码电路由时钟信号(CLK)和复位信号(RESET)共同控制,当RESET信号无效时(RESET=1),停止输出地址信号,当RESET信号有效时(RESET=0),通过输入十位二进制数控制按键输入,在时钟信号作用下,输出地址信号。将所设计程序通过MAX+PLUS II软件进行文本编辑、编译、仿真分析、器件编程、实验箱硬件验证,从而达到按键控制米字管进行十进制数字显示的设计要求。3.2、工作原理及框图(1)按键控制米字管进行十进制数字显示程序:library
4、ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity liqi isport(clk:in std_logic; reset:in std_logic; ksel:in std_logic_vector(9 downto 0); q:out std_logic_vector(15 downto 0);end liqi;architecture arc_ctrh of liqi issignal iq:std_logic_vector(15 downto 0);beginprocess(clk,reset)
5、beginif (reset='1')else if(clk'event and clk='1') then case ksel is when "1111111110"=>iq<="0000000011111111" when "1111111101"=>iq<="0000000000001100" when "1101111111"=>iq<="0000000000001111" when oth
6、ers=>iq<=iq; end case; end if;q<=iq;end if;end process;end arc_ctrh; (2)内部结构框图如图1所示:图1 内部结构框图3.3、各模块功能描述米字管显示器数字显示是由除小数点外的16根LED(A-P)控制,LED是共阴极连接的,每个数字对应一个16位二进制代码,当按键给出一个低电平的地址信号时,米字管点亮发光,米字管内部LED点亮顺序如图2:图2 米字管内部LED点亮顺序图每个按键信号对应米字管显示数字如下:按键K1:1111111110对应米字管显示0,(P-A)16跟LED点亮情况:000000001111
7、1111按键K2:1111111101对应米字管显示1,(P-A)16跟LED点亮情况:0000000000001100按键K4:1111110111对应米字管显示3,(P-A)16跟LED点亮情况:按键K5:1111101111对应米字管显示4,(P-A)16跟LED点亮情况:按键K6:1111011111对应米字管显示5,(P-A)16跟LED点亮情况:按键K7:1110111111对应米字管显示6,(P-A)16跟LED点亮情况:按键K8:1101111111对应米字管显示7,(P-A)16跟LED点亮情况:0000000000001111按键K9:1011111111对应米字管显示8,
8、(P-A)16跟LED点亮情况:按键K9:0111111111对应米字管显示9,(P-A)16跟LED点亮情况:3.4、仿真结果按键控制十进制米字管数字显示程序仿真结果如图3:图3 米字管程序仿真图3.5试验箱验证情况通过MAX+PLUS II软件进行文本编辑、编译、图形仿真分析后,进行管脚分配,再按照管脚分配在实验箱器件连线、将程序下载到FPGA实验箱进行程序功能验证。当按键输入信号时,米字管显示情况如图4-图5所示: 图4 米字管显示结果图 图5 米字管显示结果图 图6 米字管显示结果图试验箱连线图如图7所示:图7 实验箱连线图管脚分配图如图8所示: 图8 实验箱接线图4、课程设计总结可编
9、程逻辑器件PLD(Programmable Logic Device)自问世以来,经历了从低密度的EPROM、PLA、PAL、GAL到高密度的现场可编程逻辑门阵列FPGA (Field Programmable Gate Array)和复杂可编程逻辑器件CPLD(Complex Programmable Logical Device) 的发展过程。可编程逻辑器件实际上是一种电路的半成品芯片,这种芯片按一定排列方式集成了大量的门和触发器等基本逻辑元件,出厂时不具有特定的逻辑功能,需要用户编程后才能使用,利用专用的开发系统对其进行编程,在芯片内部的可编程连接点进行电路连接,使之完成某个逻辑电路或系
10、统的功能,成为一个可在实际电子系统中使用的专用芯片。在此基础上,硬件描述语言就成为重点发展对象,学好硬件描述语言以及熟练使用可编程逻辑器件显得尤为重要。以可编程器件为载体的课程设计是培养学生综合运用所学知识、发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。通过本次课程设计,我了解了米字管逻辑和时序控制要求,掌握米字管显示器的工作原理及应用及按键控制模块的控制逻辑,并知道如何用它们设计一个基于FPGA的米字管显示驱动电路。还学习了操作数字电路设计实验开发系统的方法,巩固了组合逻辑电路、时序逻辑电路的设计方法,可编程器件设计的全过程。在设计的过程中遇到,难免会遇到过各种各样的问题,同时在设计的过程中,发现了自己的很多不足之处,如对以前所学过的知识理解得不够深刻,掌握得不够牢固,面对问题不能冷静对待.通过这次课程设计,我重新摆正了对知识的认识,同时决定多花时间把以前学过的知识温故。 这次课程设计终于顺利完成了,在设计中遇到了很多编程问题,最后在王红亮老师的辛勤指导下,终于迎刃而解。同时,在王红亮老师的身上我学得到很多实用的知识,在次我表示感谢!同时,对给予我帮助的所有同学、学长和各位指导老师再次表示忠心的感谢!5、参考文献(1).侯伯亨,顾新.V
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 税务培训与技能提升
- 桑拿会所前台服务心得
- 餐具行业设计理念培训体会
- 园林绿化单位卫生整治方案
- 2024年认识电的教案6篇
- 2024年秋天的怀念教案(15篇)
- 《民族国家的兴起》课件
- 农村自建房贴瓷砖合同(2篇)
- 中国液晶材料行业市场全景评估及投资方向研究报告
- 2025有关写树木买卖合同范本
- 服装色彩搭配智慧树知到期末考试答案2024年
- 自动扶梯事故应急处置预案
- 招生人员培训课件
- 2023-2024学年深圳市罗湖区七年级(上)期末考试 英语 试题(解析版)
- 中国阴离子交换膜行业调研分析报告2024年
- 医美行业监管政策与竞争环境
- 2024年02月湖北武汉市公安局招考聘用辅警267人笔试历年高频考题(难、易错点荟萃)答案带详解附后
- 房屋移交的时间和方式
- 北京市西城区2022-2023学年七年级(上)期末数学试卷(人教版 含答案)
- 2024年福建宁德城市建设投资开发公司招聘笔试参考题库含答案解析
- 电焊的安全防护技术模版
评论
0/150
提交评论