版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、8.21 自动售货机VHDL程序与仿真(1)自动售货机VHDL程序如下:-文件名:pl_auto1.vhd。-功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-说明:显示的钱数coin的 以5角为单位。-最后修改日期:2004.3.23。library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_auto1 isport ( clk:in std_logic; -系统时钟set,get,sel,finish: in s
2、td_logic; -设定、买、选择、完成信号coin0,coin1: in std_logic; -5角硬币、1元硬币price,quantity :in std_logic_vector(3 downto 0; -价格、数量数据item0 , act:out std_logic_vector(3 downto 0; -显示、开关信号y0,y1 :out std_logic_vector(6 downto 0; -钱数、商品数量显示数据act10,act5 :out std_logic; -1元硬币、5角硬币end PL_auto1;architecture behav of PL_auto
3、1 istype ram_type is array(3 downto 0of std_logic_vector(7 downto 0; signal ram :ram_type; -定义RAMsignal item: std_logic_vector(1 downto 0; -商品种类signal coin: std_logic_vector(3 downto 0; -币数计数器signal pri,qua:std_logic_vector(3 downto 0; -商品单价、数量signal clk1: std_logic; -控制系统的时钟信号begincom:process(set,c
4、lk1variable quan:std_logic_vector(3 downto 0;beginif set='1' then ram(conv_integer(item<=price & quantity;act<="0000"-把商品的单价、数量置入到RAMelsif clk1'event and clk1='1' then act5<='0' act10<='0'if coin0='1' then if coin<"1001&qu
5、ot;then coin<=coin+1; -投入5角硬币,coin自加1else coin<="0000"end if;elsif coin1='1' then if coin<"1001"then coin<=coin+2; -投入1元硬币,coin自加2else coin<="0000"end if;elsif sel='1' then item<=item+1; -对商品进行循环选择elsif get='1' then -对商品进行购买if q
6、ua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1;ram(conv_integer(item<=pri & quan;if item="00" then act<="1000" -购买时,自动售货机对4种商品的操作elsif item="01" then act<="0100"elsif item="10" then act<="0010"el
7、sif item="11" then act<="0001"end if;end if;elsif finish='1' then -结束交易,退币(找币)if coin>"0001" then act10<='1'coin<=coin-2; -此IF语句完成找币操作elsif coin>"0000" then act5<='1' coin<=coin-1;else act5<='0' act10<
8、='0'end if;elsif get='0' then act<="0000" for i in 4 to 7 loop pri(i-4<=ram (conv_integer(item(i; -商品单价的读取end loop;for i in 0 to 3 loopquan(i:=ram(conv_integer(item(i; -商品数量的读取end loop; end if;end if;qua<=quan;end process com;m32:process(clk -此进程完成对32Mhz的脉冲分频variab
9、le q: std_logic_vector( 24 downto 0;beginif clk'event and clk='1' then q:=q+1;end if;if q="111111111111111111111111" then clk1<='1'else clk1<='0'end if;end process m32;code0:process(item -商品指示灯译码begincase item iswhen "00"=>item0<="0111
10、"when "01"=>item0<="1011"when "10"=>item0<="1101"when others=>item0<="1110"end case;end process;code1: process (coin -钱数的BCD到七段码的译码begincase coin iswhen "0000"=>y0<="0000001"when "0001"=>y
11、0<="1001111"when "0010"=>y0<="0010010"when "0011"=>y0<="0000110"when "0100"=>y0<="1001100"when "0101"=>y0<="0100100"when "0110"=>y0<="0100000"when "0111
12、"=>y0<="0001111"when "1000"=>y0<="0000000"when "1001"=>y0<="0000100"when others=>y0<="1111111"end case;end process;code2: process (qua -单价的BCD到七段码的译码begincase qua iswhen "0000"=>y1<="0000001
13、"when "0001"=>y1<="1001111"when "0010"=>y1<="0010010"when "0011"=>y1<="0000110"when "0100"=>y1<="1001100"when "0101"=>y1<="0100100"when "0110"=>y1<="0100000"when "0111"=>y1<="0001111"when "1000"=>y1<="0000000"when "1001"=>y1<="00
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年粤教新版八年级数学下册月考试卷
- 二零二五年度物流仓储设施合作开发与租赁合同2篇
- 二零二五年度钢结构建筑节能评估与认证合同2篇
- 2025年华师大新版九年级科学下册月考试卷
- 2025年上教版选修1历史下册月考试卷
- 2025年苏教版七年级地理上册月考试卷
- 2025年度退休教师继续教育劳动合同范本2篇
- 二零二五年度航次租船合同金康版(新增船舶噪音控制要求)3篇
- 二零二五年度水利工程施工与维护服务合同2篇
- 2025年学生实习实训基地消防协议3篇
- 2024年销售员工年度工作总结
- 2024-2025学年广东省深圳市南山区监测数学三年级第一学期期末学业水平测试试题含解析
- 电子招投标平台搭建与运维服务合同
- 中国慢性阻塞性肺疾病基层诊疗指南(2024年)解读
- 现场生命急救知识与技能学习通超星期末考试答案章节答案2024年
- 四年级上册竖式计算300题及答案
- 压力容器壁厚计算软件
- 红色简约年终工作总结新征程再出发PPT模板
- 工业通风换气次数的有关规定
- 试剂验收记录表.doc
- 霸气YY游戏频道设计模板
评论
0/150
提交评论