CHUP实验板介绍与元件烧录ppt课件_第1页
CHUP实验板介绍与元件烧录ppt课件_第2页
CHUP实验板介绍与元件烧录ppt课件_第3页
CHUP实验板介绍与元件烧录ppt课件_第4页
CHUP实验板介绍与元件烧录ppt课件_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、儒林圖書公司 SIM896aVHDL數位電路設計實務教本 第三版UP1/UP1X實驗板簡介 UP1/UP1X實驗板簡介 UP1實驗板的Jumper設定實驗板上設有四組JUMPERS(TDI、TDO、DEVICE、BOARD)提供使用者設定JTAG的型態,其設定型態如下:燒錄EPM7128S元件燒錄EPF10K20元件燒錄EPM7128S 和EPF10K20兩元件連接數個UP1實驗板 下載至EPM7128S元件與FLEX 10K元件兩種不同的Jumper 設定方式 C1、C2、C3連結頭的位置EPF10K20RC240-4元件及周邊連線元件 FLEX_DIGIT:兩個共陽極接法的七段顯示器,當連

2、接至七段顯示器上LED之訊號為LOGIC 0(低準位)時,七段顯示器上的LED會被驅動而發亮,反之LED會熄滅。EPF10K20RC240-4元件及周邊連線元件FLEX_PB1和FLEX_PB2:壓按開關,提供Active_Low訊號,未按下時為LOGIC 1(高準位),按下後送出LOGIC 0(低準位)訊號。 EPF10K20RC240-4元件及周邊連線元件FLEX_SWITCH:一組8個DIP的指撥開關,當開關被撥下時代表LOGIC 0(低準位),反之為LOGIC 1(高準位)。 EPF10K20RC240-4元件及周邊連線元件PS/2 埠:可允許FLEX10K元件藉此介面接收PS/2 M

3、ouse或PS/2 Keyboard的資料。EPF10K20RC240-4元件及周邊連線元件SignalPin NumberRed1Green2Blue3Ground11Horizontal Sync.13Vertical Sync.14 1 2 3 4 5 6 7 8 9 10 11 14 13 12 15 VGA介面:VGA介面提供使用者利用FLEX10K來控制外界的視訊螢幕,FLEX10K透過五個訊號來傳遞有關螢幕上色彩以及行、列位置的資訊。五個控制VGA的訊號分別為Red(紅)、Green(綠)、Blue(籃)和垂直、水平同步訊號,正確操作這幾個訊號即可讓我們設計的圖像成功的顯示在實驗

4、板的外接VGA螢幕畫面上。 CLOCK:振盪頻率為25.175MHz的時脈連接至FLEX10K元件的第91 PIN腳位。 EPF10K20RC240-4元件及周邊連線元件EPF7128SLC84-7元件的I/O腳位及周邊元件 P1、P2、P3和P4:MAX_PB1和MAX_PB2:兩個壓按開關,但使用者在利用時需自行利用跳線的方式將EPF7128SLC84-7元件的接點(P1、P2、P3、P4四組母接頭)連接至壓按開關旁的母接頭上(P9和P10)。EPF7128SLC84-7元件的I/O腳位及周邊元件 MAX_SW1和MAX_SW2:兩組8個DIP的指撥開關,當開關撥下時代表LOGIC 0(低

5、準位),反之則為LOGIC 1(高準位)。使用者在使用時同樣必須利用跳線的方式將EPF7128SLC84-7元件的接點(P1、P2、P3、P4四組母接頭)連接到開關的母接頭上。 EPF7128SLC84-7元件的I/O腳位及周邊元件 EPF7128SLC84-7元件的I/O腳位及周邊元件D1、D2、.、D16: MAX_DIGIT:兩個共陽極接法的七段顯示器 元件燒錄 Altera FLEX EPF10K20RC240-4元件的燒錄 連接JTAG PORT至電腦印表機並列埠,並接上9V的直流電源 將UP1實驗板之Jumper設定調整 至正確位置Altera FLEX EPF10K20RC240

6、-4元件的燒錄 Example:壓按開關的測試VCCVCCFLEX_PB1FLEX_PB2LED以一個AND gate功能的電路來測試UP1實驗板上FLEX_PB1和FLEX_PB2壓按開關的功能,輸出則顯示在FLEX_DIGIT元件之十位數位置的七段顯示器小數點上。 Altera FLEX EPF10K20RC240-4元件的燒錄 Example:壓按開關的測試(續)library ieee;use ieee.std_logic_1164.all; entity andgate isport( FLEX_PB1,FLEX_PB2: in std_logic; Digit1dp: out st

7、d_logic );end andgate; architecture a of andgate is begin Digit1dp=FLEX_PB1 and FLEX_PB2;end a; 輸入FLEX EPF10K20RC240-4腳位輸出FLEX EPF10K20RC240-4腳位FLEX_PB128Digit1dp14FLEX_PB229 EPF7128SLC84-7元件燒錄Example:除頻電路設計Example:將25.1750MHz進行除頻以得到輸出值為1Hz的振盪頻率 將UP1實驗板之Jumper設定調整 至正確位置library ieee;use ieee.std_logi

8、c_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all; entity slowCLK is generic(divisor:integer:=25175000); -設定除數為25175000 port( clockIN : in std_logic; clockOUT: out std_logic ); end slowCLK; architecture arch of slowCLK is signal PULSE : std_logic;begin process(clockIN) variable

9、 counter,divisor2 : integer range 0 to divisor; begin divisor2:=divisor/2; - up counter - if (clockIN event and clockIN =1) then if counter = divisor then counter := 1; else counter := counter + 1; end if; end if;- clk_out register clk generator - if (clockIN event and clockIN =1) then if ( counter=

10、 divisor2) or (counter = divisor)then PULSE = not PULSE ; end if; end if; clockOUT = PULSE ; end process;end arch; EPF7128SLC84-7元件燒錄Example:除頻電路設計(續)EPM7128SLC84-7接腳配置輸入EPM7128SLC84-7腳位輸出EPM7128SLC84-7腳位clockIN83clockOUT31 14-2-3 UP1X頻率產生器與彈跳消除電路的設計 14-2-3 UP1X頻率產生器與彈跳消除電路的設計 QCLKDKey_inD0D1CLKQCLKDQSRKey_out14-2-3 UP1X頻率產生器與彈跳消除電路的設計 14-3多工掃描原理與其應用設計 14-3-1 8X8點矩陣LED顯示原理與實習 8x8點矩陣顯示器結構圖 (a)共陽極架

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论