第7章 触发器课件_第1页
第7章 触发器课件_第2页
第7章 触发器课件_第3页
第7章 触发器课件_第4页
第7章 触发器课件_第5页
已阅读5页,还剩69页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第第7 7章章 集成触发器集成触发器 7.1 概述概述概述概述触发器的触发器的基本形式基本形式 无空翻触发器无空翻触发器 触发器的应用触发器的应用本章小结本章小结一、一、触发器触发器的基本特性和作用的基本特性和作用 Flip - Flop,简写为,简写为 FF,又称双稳态触发器。,又称双稳态触发器。基本特性基本特性 ( (1) )有两个稳定状态有两个稳定状态( (简称稳态简称稳态) ),正好用来表示逻辑正好用来表示逻辑 0 和和 1。( (2) )在输入信号作用下,触发器的两个稳定状态可相互转换在输入信号作用下,触发器的两个稳定状态可相互转换 ( (称为状态的翻转称为状态的翻转) )。输入信号

2、消失后,新状态可长期输入信号消失后,新状态可长期 保持下来,因此具有记忆功能,可存储二进制信息。保持下来,因此具有记忆功能,可存储二进制信息。 一个触发器可存储一个触发器可存储 1 位二进制数码位二进制数码触发器的作用触发器的作用触发器有记忆功能,触发器有记忆功能,由它构成的电路在某时刻的输由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关。出不仅取决于该时刻的输入,还与电路原来状态有关。而门电路无记忆功能,由它构成的电路在某时刻的输而门电路无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关;出完全取决于该时刻的输入,与电路原来状态无关;触

3、发器和门电路触发器和门电路是构成时序电路的基本单元。是构成时序电路的基本单元。二、触发器的类型二、触发器的类型 根据逻辑功能不同分为根据逻辑功能不同分为 RS 触发器触发器 D 触发器触发器 JK 触发器触发器 T 触发器触发器 T 触发器触发器 根据触发方式不同分为根据触发方式不同分为 电平触发器电平触发器 边沿触发器边沿触发器 脉冲触发器脉冲触发器 根据电路结构不同分为根据电路结构不同分为 基本基本 RS 触发器触发器 同步触发器同步触发器 主从触发器主从触发器 边沿触发器边沿触发器 三、触发器逻辑功能的描述方法三、触发器逻辑功能的描述方法 主要有特性表、特性方程、状态转换图和主要有特性表

4、、特性方程、状态转换图和波形图波形图 ( (又称时序图又称时序图) )等。等。主要要求:主要要求:掌握与非门结构掌握与非门结构基本基本 RS 触发器触发器的电路、逻辑的电路、逻辑功能和工作特点。功能和工作特点。 了解同步触发器的结构、工作特点和存在问题。了解同步触发器的结构、工作特点和存在问题。 7.2 触发器的基本形式触发器的基本形式 掌握触发器的掌握触发器的 0 态、态、1 态、置态、置 0、置、置 1、触发方、触发方式、现态、次态和空翻式、现态、次态和空翻等概念。等概念。了解触发器逻辑功能的描述方法。了解触发器逻辑功能的描述方法。 掌握掌握 RS 触发器、触发器、D 触发器、触发器、JK

5、 触发触发器的逻辑功能及其特性方程。器的逻辑功能及其特性方程。 一、基本一、基本 RS 触发器触发器 ( (一一) )由与非门组成的基本由与非门组成的基本 RS 触发器触发器 1. 电路结构及逻辑符号电路结构及逻辑符号QQSDRDG1G2QQSDRDSRSDRDQQQ = 1,Q = 0 时,称为触发器的时,称为触发器的 1 状态,记为状态,记为 Q = 1;Q = 0,Q = 1 时,称为触发器的时,称为触发器的 0 状态,记为状态,记为 Q = 0。 RDSD置置0端,也端,也称复位端。称复位端。 R 即即 Reset 置置1端,也端,也称置位端。称置位端。 S 即即 Set Basic

6、Flip - Flop 信号输入端信号输入端互补输出端,互补输出端,正常工作时,正常工作时,它们的输出它们的输出状态相反。状态相反。 低电平有效低电平有效 工作原理工作原理QQSDRDG1G211011000SDRD 功功 能能 说说 明明输输 入入QQ输输 出出2. 工作原理及逻辑功能工作原理及逻辑功能 0111 10触发器被置触发器被置 0 触发器置触发器置 0102. 工作原理及逻辑功能工作原理及逻辑功能 QQSDRDG1G211011000SDRD功功 能能 说说 明明输输 入入QQ输输 出出1001 11触发器被置触发器被置 1 触发器置触发器置 010 触发器置触发器置 1012.

7、 工作原理及逻辑功能工作原理及逻辑功能 QQSDRDG1G211011000SDRD 功功 能能 说说 明明输输 入入QQ输输 出出11 触发器置触发器置 010 触发器置触发器置 101 触发器保持原状态不变触发器保持原状态不变不不 变变&G1 门输出门输出QSQ DQQ 1G2 门输出门输出QRQ DQQ 12. 工作原理及逻辑功能工作原理及逻辑功能 QQSDRDG1G2 输出状态输出状态不定不定( (禁用禁用) )不不 定定11011000SDRD功功 能能 说说 明明输输 入入QQ输输 出出 触发器置触发器置 010 触发器置触发器置 101 触发器保持原状态不变触发器保持原状

8、态不变不不 变变0011同时输出同时输出1状态,但状态,但当当 RD 和和 SD 又同时由又同时由 0 跳变到跳变到 1 时,输出状态时,输出状态可能为可能为 0,也可能为,也可能为 1,即输出状态不可确定。即输出状态不可确定。因此,这种情况禁用。因此,这种情况禁用。特性表特性表3. 逻辑功能的逻辑功能的特性表特性表描述描述 次态次态 现态现态 指触发器在输入信号变化前的状态,用指触发器在输入信号变化前的状态,用 Qn 表示。表示。 指触发器在输入信号变化后的状态,用指触发器在输入信号变化后的状态,用 Qn+1 表示。表示。 触发器次态与输入信号和电路原有状态之触发器次态与输入信号和电路原有状

9、态之间关系的真值表。间关系的真值表。00001触发器触发器状态不定状态不定01010100触发器触发器置置 000101101触发器触发器置置 1111110011触发器触发器保持原状态不变保持原状态不变说说 明明Qn+1QnSDRD基本基本 RS 触发器特触发器特性表的简化表示性表的简化表示Qn11101010不定不定00Qn+1SDRD与非门组成的基本与非门组成的基本 RS 触发器特性表触发器特性表 置置 0 端端 RD 和置和置 1 端端 SD 低电平有效。低电平有效。禁用禁用 RD = SD = 0。称约束条件称约束条件 注意注意4. 逻辑功能的逻辑功能的状态图、特性方程状态图、特性方

10、程描述描述 状态转换图状态转换图 由特性表列出基本由特性表列出基本RS触发器的卡诺图触发器的卡诺图,化简得到特性方程化简得到特性方程11nnddddQSR QSR波形分析举例解:解: 例例 设下图中触发器初始状态为设下图中触发器初始状态为 0,试对应输入波形,试对应输入波形 画出画出 Q 和和 Q 的波形。的波形。QQSDRDSRSDRD保持保持初态为初态为 0,故保持为,故保持为 0。置置 0 保持保持QQ置置 1 时序图反映了触发器的输出状态在时序图反映了触发器的输出状态在输入信号作用下随时间变化的规律输入信号作用下随时间变化的规律( (二二) )基本基本 RS 触发器的两种形式触发器的两

11、种形式特特性性表表Qn11101010不定不定00Qn+1SDRD不定不定11001110Qn00Qn+1SDRDQQSDRDSRQQSDRDSR逻逻辑辑符符号号置置 0、置、置1 信信号号低电平有效低电平有效置置 0、置、置1 信信号号高电平有效高电平有效注注意意弄清输入弄清输入信号是低电平信号是低电平有效还是高电有效还是高电平有效。平有效。出现不确定状态的基本出现不确定状态的基本R-S触发器波形图触发器波形图应用实例应用实例1 基本基本RS触发器组成的触发器组成的3人抢答电路人抢答电路 nK为复位键,为复位键,KA、 KB、 KC为三人抢答按钮为三人抢答按钮 工作原理工作原理n开始抢答前,

12、先按一下复位键开始抢答前,先按一下复位键K,即,即3个触发器的个触发器的R信号都为信号都为0,使,使 QA、QB、 QC 均置均置0,三个发,三个发光二极管均不亮光二极管均不亮 。n开始抢答后,如开始抢答后,如 第一个被按下,则第一个被按下,则 的的 使使QA 置置1,门的输出变为,门的输出变为 ,点亮发光二极管点亮发光二极管DA,同时同时UoA的的0信号封锁了信号封锁了GB、GC门,门,KB、KC即即使再按下也无效。使再按下也无效。 n然后松开然后松开KA,此时,此时FA的的 触发器保持原状触发器保持原状态,直到裁判重新按下复位键,新一轮抢答开始。态,直到裁判重新按下复位键,新一轮抢答开始。

13、AKAF0S 0oAU1SR( (三三) )基本基本 RS 触发器的优缺点触发器的优缺点 优点优点缺点缺点电路简单,是构成各种触发器的基础。电路简单,是构成各种触发器的基础。 1. 输出受输入信号直接控制,不能定时控制。输出受输入信号直接控制,不能定时控制。 2. 有约束条件。有约束条件。 二、同步触发器二、同步触发器 Synchronous Flip - Flop 实际工作中,触发器的工作状态不仅要由触发输入实际工作中,触发器的工作状态不仅要由触发输入信号决定,而且要求按照一定的节拍工作。为此,需要信号决定,而且要求按照一定的节拍工作。为此,需要增加一个增加一个时钟控制端时钟控制端 CP。

14、CP 即即 Clock Pulse,它是一串,它是一串周期和脉宽一定的矩形脉冲。周期和脉宽一定的矩形脉冲。 具有时钟脉冲控制的触发器称为具有时钟脉冲控制的触发器称为时钟触发器时钟触发器,又称钟控触发器。又称钟控触发器。 同步触发器是其中最简单的一种,而同步触发器是其中最简单的一种,而基本基本 RS 触发器称异步触发器触发器称异步触发器。 ( (一一) )同步同步 RS 触发器触发器QQG1G2SRG3G4CPQ3Q4( (一一) )同步同步 RS 触发器触发器 工作原理工作原理 CP = 0 时,时,G3、G4被封被封锁,输入信号锁,输入信号 R、S不起作用。不起作用。基本基本 RS 触发器的

15、输入均为触发器的输入均为 1,触发器状态保持不变。触发器状态保持不变。 CP = 1 时,时,G3、G4解除封解除封锁,将输入信号锁,将输入信号R 和和 S 取非后取非后送至基本送至基本RS 触发器的输入端。触发器的输入端。 0111SR1. 电路结构与工作原理电路结构与工作原理 基本基本 RS 触发器触发器 增加了由时钟增加了由时钟 CP 控制的门控制的门 G3、G4 根据基本触发器的特性方根据基本触发器的特性方程式可以得到当程式可以得到当CP1时,同时,同步步RS触发器的状态方程:触发器的状态方程:10nnQSRQRSQQ1SC11R QQG1G2SRG3G4CPQ3Q4不定不定11001

16、1 10Qn00Qn+1SRRS功能功能 R、S 信号信号高电平有效高电平有效 SSDRRDRDSD2. 逻辑功能与逻辑符号逻辑功能与逻辑符号异步置异步置 0 端端 RD 和异步置和异步置1 端端 SD 不受不受 CP 控制。控制。实际应用中,常需要利用异步端预置触发器值实际应用中,常需要利用异步端预置触发器值( (置置 0 或置或置 1) ),预置完毕后应使,预置完毕后应使 RD = SD = 1。3. 同步同步 RS 触发器的特性表与特性方程触发器的特性表与特性方程 000010101010101101011000111101Qn+1QnSR特特性性表表同步同步RS触发器触发器Qn+1的卡

17、诺图的卡诺图RSQn0100 0111 10 1 1 1 特性方程特性方程nnQRSQ 1RS = 0( (约束条件约束条件) )RS 触发器功能也可用特性表与触发器功能也可用特性表与特性方程来描述。特性方程来描述。特性方程特性方程指触发器次态与输入信号和电路原有指触发器次态与输入信号和电路原有状态之间的逻辑关系式。状态之间的逻辑关系式。 RDCPRQQ1SSC1CPR1RRSVCCRDS解:解: 例例 试对应输入波形画出下图中试对应输入波形画出下图中 Q 端端波形。波形。原态未知原态未知QVCCRDRD同理可得到同步同理可得到同步RS触发器的状态转换图触发器的状态转换图01R=0S=1R=1

18、S=00RS0RS同步同步RS触发器的工作波形触发器的工作波形( (二二) )同步同步 D 触发器触发器( (二二) )同步同步 D 触发器触发器 1. 电路结构、逻辑符号和逻辑功能电路结构、逻辑符号和逻辑功能 DQQ1S1RC1CPQQ1DDC1CPCPDQn+1说明说明10101置置0置置10 xQn不变不变同步同步 D 触发器功能表触发器功能表 称为称为 D 功能功能特点:特点:Qn+1 跟随跟随 D 信号信号 & &QQ(a)QQ1DC1(b)DCP & &DCP1G 1G 2G 3G 4SRdRdS 由基本由基本RS触发器触发器的特性方程,可得同步的特

19、性方程,可得同步D触发器的特性方程:触发器的特性方程:1nnddnQSR QDDQD2. D 触发器的触发器的特性表、特性方程、特性表、特性方程、驱动表驱动表和和状态转换图状态转换图 由触发器现态和次态的取值来确定由触发器现态和次态的取值来确定输入信号取值的关系表,又称激励表。输入信号取值的关系表,又称激励表。 用圆圈及其内的标注表示电路的所有稳态,用圆圈及其内的标注表示电路的所有稳态,用箭头表示状态转换的方向,箭头旁的标注表示用箭头表示状态转换的方向,箭头旁的标注表示状态转换的条件。状态转换的条件。 它们是触发器逻辑功能的不同描述方法,它们是触发器逻辑功能的不同描述方法,也是时序逻辑电路逻辑

20、功能的描述方法。也是时序逻辑电路逻辑功能的描述方法。0 00 11 01 1D Qn Qn+1特性方程特性方程Qn+1 = D001101010011Qn+1QnDD 触发器特性表触发器特性表 00001111D 触发器驱动表触发器驱动表 0 00 11 01 10011无约束无约束 Qn+1 在在 D = 0 时时就为就为 0,与,与 Qn 无关。无关。0 00 11 01 10 1D = 1D = 0D = 0D = 1 Qn+1 在在 D = 1 时时就为就为 1,与,与 Qn 无关。无关。2. D 触发器的触发器的特性表、特性方程、特性表、特性方程、驱动表驱动表和和状态转换图状态转换图

21、 同步同步D触发器触发器状态转换图状态转换图 解:解: 例例 试对应输入波形画出下图中试对应输入波形画出下图中 Q 端端波形波形( (设触发器设触发器 初始状态为初始状态为 0) )。QQ1DDC1CPDCPQCP = 0,同步触发器状态不变,同步触发器状态不变触发器触发器初始状态为初始状态为 0CP = 1,同步,同步 D 触发触发器次态跟随器次态跟随 D 信号信号 同步触发器在同步触发器在 CP = 1 期间能发生多期间能发生多次翻转,这种次翻转,这种现象称为空翻现象称为空翻( (三三) )同步同步 JK 触发器触发器( (三三) )同步同步 JK 触发器触发器 QQ1S1RC1CP功能表

22、功能表 JK电路结构电路结构QQ1JJC1CP1KK逻辑符号逻辑符号1说明说明Qn+1KJCP称为称为 JK 功能,即功能,即 JK = 00 时保持;时保持; JK = 11 时翻转;时翻转; J K 时时 Qn+1 值与值与 J 相同。相同。不变不变Qn00置置 0010翻转翻转11置置 1101不变不变Qn0Qn 由基本由基本RS触发器触发器的特性方程,可得同步的特性方程,可得同步D触发器的特性方程:触发器的特性方程:1nnddnnnnnQSR QJQK Q QJQK Q0 00 11 01 1J K Qn Qn+1特性表特性表 特性方程特性方程驱动表驱动表 0 无约束条件无约束条件状态

23、转换图状态转换图 0 1J = 0K =10011111110100110001110000K010100Qn+1QnJnnnQKQJQ 1 1 1 00 00 11 10 11 01 11 00 0J = 1K =J =K = 0J =K = 1解:解: 例例 设触发器初始状态为设触发器初始状态为 0,试对应输入波形画出试对应输入波形画出 Q 端端波形。波形。触发器初始状态为触发器初始状态为 0JCPQQ1JJC1CPK1KKQ CP = 0 时,同步时,同步触发器状态不变。触发器状态不变。 CP = 1 时,触发器根据时,触发器根据 J、K 信号取值按照信号取值按照 JK 功能工作。功能工

24、作。 同步同步T、T触发器触发器1同步同步T触发器触发器 如果将同步如果将同步JK触发器电路中的触发器电路中的J和和K连在一起改作连在一起改作T。将将T替代替代JK触发器特性方程中的触发器特性方程中的J和和K便得到便得到T触发器的特触发器的特性方程:性方程: 1nnnQTQTQ2. 同步同步 触发器触发器 如果在如果在T触发器中令触发器中令T=1(即(即J=K=1),那么每输入一),那么每输入一个个CP脉冲,触发器状态翻转一次,这种触发器称脉冲,触发器状态翻转一次,这种触发器称T触发器,触发器,其特征方程:其特征方程:T1nnQQ( (四四) )同步触发器的特点同步触发器的特点 同步触发器的同

25、步触发器的触发方式触发方式为为电平触发式电平触发式 同步触发器的共同缺点是存在同步触发器的共同缺点是存在空翻空翻 触发脉冲作用期间,输入信号发生多次变化时,触触发脉冲作用期间,输入信号发生多次变化时,触发器输出状态也相应发生多次变化的现象称为空翻。发器输出状态也相应发生多次变化的现象称为空翻。 空翻可导致电路工作失控。空翻可导致电路工作失控。指时钟脉冲信号控制指时钟脉冲信号控制触发器工作的方式触发器工作的方式 CP = 1 期间翻转的称高电平触发式;期间翻转的称高电平触发式; CP = 0 期间翻转的称低电平触发式。期间翻转的称低电平触发式。 7.3 无空翻触发器无空翻触发器 Master -

26、 Slave Flip - Flop Edge - Triggered Flip - Flop 一、无空翻触发器的类型和工作特点一、无空翻触发器的类型和工作特点 工作特点:工作特点:CP = 1 期间,期间,主触发器主触发器接收接收输入信号;输入信号;CP = 0 期间,主触发器保持期间,主触发器保持 CP 下降沿之前状态不变,而从触发器接受主触下降沿之前状态不变,而从触发器接受主触发器状态。因此,发器状态。因此,主从触发器的状态只能在主从触发器的状态只能在 CP 下降沿时刻翻转。下降沿时刻翻转。 这种触发方式称为脉冲这种触发方式称为脉冲触发式触发式。工作特点:只能工作特点:只能在在 CP 上

27、升沿上升沿( (或下降沿或下降沿) )时刻接收输入信号,时刻接收输入信号,因此,因此,电路状态只能在电路状态只能在 CP 上升沿上升沿( (或下降沿或下降沿) )时刻时刻翻转。翻转。这种触发方式称为这种触发方式称为边沿触发式边沿触发式。无无空空翻翻触触发发器器主主从从触触发发器器 边边沿沿触触发发器器 主从触发器和边沿触发器有何异同?主从触发器和边沿触发器有何异同?只能在只能在 CP 边沿时刻翻转,边沿时刻翻转,因此都因此都克服了克服了空翻空翻,可靠性和抗干扰能力强,应用范围广。,可靠性和抗干扰能力强,应用范围广。相相同同处处电路结构和工作原理不同,因此电路功能电路结构和工作原理不同,因此电路

28、功能不同。为保证电路正常工作,不同。为保证电路正常工作,要求主从要求主从 JK 触触发器的发器的 J 和和 K 信号在信号在 CP = 1 期间保持不变期间保持不变;而;而边沿触发器没有这种限制边沿触发器没有这种限制,其功能较完善,因,其功能较完善,因此应用更广。此应用更广。相相异异处处 给主从触发器给主从触发器提供反相的时钟信提供反相的时钟信号,使它们在不同号,使它们在不同的时段交替工作。的时段交替工作。主从主从 JK触发器电路、符号和工作原理触发器电路、符号和工作原理表示时钟触发沿为下降沿表示时钟触发沿为下降沿 从触发器从触发器 主触发器主触发器 Q = Q从从 综上所述,主从触发器状态只

29、能在综上所述,主从触发器状态只能在 CP 时刻发生翻转,其它时刻则保持时刻发生翻转,其它时刻则保持不变不变.至于状态如何翻转,至于状态如何翻转, 则由则由 CP 之前最后的之前最后的 输入信号输入信号 值决定。值决定。 主从主从 JK 触发器工作原理触发器工作原理 CP = 1 期间,期间,主触发器接受输入信主触发器接受输入信号,从触发器被封锁,使号,从触发器被封锁,使主从主从 JK 触发器状态保持不变。触发器状态保持不变。 CP 到达时,到达时,CP = 0,CP = 1。主触。主触发器被封锁,并保持发器被封锁,并保持 CP 到达之前到达之前的状态不变。这时从触发器工作,的状态不变。这时从触

30、发器工作, S从从= Q主主,R从从 = Q主主,因此,因此 Q主主 = 0 时,时, Q从从置置 0;Q主主 = 1时,时,Q从从置置 1,即,即 Q从从= Q主主,从触发器翻转到与主触发器相同从触发器翻转到与主触发器相同的状态。的状态。 CP = 0 期间,期间,主触发器被封锁,保主触发器被封锁,保持持CP 到达之前的状态不变,到达之前的状态不变, Q从从 = Q主主, 因此,因此,主从主从 JK 触发器状态保触发器状态保持不变。持不变。Q = Q从从主从主从J-K触发器的一次翻转现象触发器的一次翻转现象 n主从JK触发器的一次翻转现象是指在CP=1期间,JK端的输入信号不论变化多少次,主

31、触发器的状态只能变化一次。n一次翻转的根本原因是由于主触发器的反馈线取自从触发器的输出Q和 /Q端,当CP=1,从触发器封锁,输出 、 不变.由 nRKQnSJQ, 主触发器输入总有一个为0 ,这时有两种情况若 , SR只有10(置1),00(保持)两种组合,不能为置0状态 0nQ nQnQnnnQJQKQ Qn+1MMSJ0R 所以,即使所以,即使JK变化多次,主触发器只能由变化多次,主触发器只能由0到到1变化一次变化一次 这时这时K不起作用,由不起作用,由J决定是否翻转决定是否翻转, 主触发器翻转。主触发器翻转。若若 SR只有只有01(置(置0),),00(保持)两种组(保持)两种组合,不

32、能为置合,不能为置1状态,主触发器只能由状态,主触发器只能由1到到0变化一次,由变化一次,由K决定决定是否翻转,是否翻转, 主触发器翻转。主从触发器的一次翻转波形主触发器翻转。主从触发器的一次翻转波形 如如下下1J 0S RK1K 1nQ 无空翻触发器的学习重点是根据无空翻触发器的学习重点是根据逻辑符号识别其功能,理解其应用。逻辑符号识别其功能,理解其应用。下面介绍常用无空翻触发器的符号及下面介绍常用无空翻触发器的符号及其应用注意事项。其应用注意事项。二、常用无空翻触发器及其符号二、常用无空翻触发器及其符号 主从主从 RS 触发器触发器 主从主从 JK 触发器触发器 主从触发器主从触发器 QQ

33、1JJC1CP1KK边沿触发器边沿触发器 TTL 维持阻塞维持阻塞 D 触发器触发器( (通通常常上升沿触发上升沿触发) ) TTL 边沿边沿 JK触发器触发器( (通通常常下降沿触发下降沿触发) )CMOS 边沿边沿 D 触发触发器和边沿器和边沿 JK 触发器触发器( (通通常常上升沿触发上升沿触发) )QQ1SSC1CP1RRQQ1JJ CP1KKC1CP 触发的边触发的边沿沿 JK 触发器触发器 C1QQ1JJ CP1KKC1CP 触发的边触发的边沿沿 JK 触发器触发器 C1具有异步端的具有异步端的边沿边沿 JK 触发器触发器 QQ1JJ CP1KKRSC1RDSDQQ1JJ CP1K

34、KRSC1RDSD异步端低电平有效异步端低电平有效异步端高电平有效异步端高电平有效RRDRRDSSDSSDQn11001010001000在在 CP时时刻执行刻执行 JK 功能功能Qn0000Qn100保持不变保持不变Qn000禁用禁用不定不定 11置置 1110置置 0001说说 明明Qn+1KJCPSDRD异步端高电平有效的下降沿触发式异步端高电平有效的下降沿触发式 JK 触发器功能表触发器功能表7.4.1 边沿边沿JK触发器触发器 必须具备的条件必须具备的条件: 触发导引门触发导引门G3和和G4的平均延迟时间比基的平均延迟时间比基本触发器的平均延迟时间要长。这一点在制造时给予满足。例本触

35、发器的平均延迟时间要长。这一点在制造时给予满足。例如,加宽三极管的基区宽度、输出采用集电极开路门结构等。如,加宽三极管的基区宽度、输出采用集电极开路门结构等。边沿边沿 JK 触发器工作原理分析触发器工作原理分析当当 时,门时,门A、B均输出均输出0, ,门,门G4输出为输出为1,因此门,因此门C输出为输出为1, 实现异步置实现异步置1。即即1,0DDRS1Q 0Q 当当 时,门时,门C、D均输出均输出0, ,门,门G3输出为输出为1,因此门,因此门B输出为输出为1, 实现异步置实现异步置0。即即0,1DDRS1Q 0Q 1,1DDRS当当 CP =1 时,与非门时,与非门G3、G4开启,接收开

36、启,接收 J、K信号输入信号输入,可推得输出方程:可推得输出方程: 133nnnnnnDDQQCP SQ QSQQQQ触发器的输出仍保持不变触发器的输出仍保持不变 144nnnnnnDDQQCP RQQRQQQQ11nQ10nQ1.异步置位分析异步置位分析2. 情况下情况下3nQJQ4nQKQ此时此时边沿边沿JK触发器工作原理分析触发器工作原理分析 3nQJQ4nQKQ1nnnnnddnnQSR QJQKQ QJQKQ 3. 当当CP 由由1到到0的跳变时,的跳变时,CP信号是直接加信号是直接加到与门到与门 A、D输入端使其被封锁,输出为输入端使其被封锁,输出为0。但但G3 、G4因延时大于基

37、本触发器,其输出仍因延时大于基本触发器,其输出仍保持前一时刻的状态。即:保持前一时刻的状态。即:由以上分析可知:由以上分析可知:在在CP=0及及 CP =1期间,触发器状态均维持不变,只有时钟下期间,触发器状态均维持不变,只有时钟下降沿到达时刻的降沿到达时刻的J、K值才能对触发器起作用,并引起翻转,实现了边沿触发值才能对触发器起作用,并引起翻转,实现了边沿触发JK触发器的功能。触发器的功能。而此刻而此刻G1和和G2等效为一个基本等效为一个基本RS触发器,触发器,Q3相当于相当于 ,Q4相当于相当于 ,有基本,有基本RS触发器方程可得触发器方程可得;dRdS34()()1ddQ SQ R 4.

38、当当CP=0时,与非门时,与非门 G3、G4 封锁,不接封锁,不接收收J、 K 信号输入,等效基本信号输入,等效基本RS触发器的触发器的输入信号输入信号 ,使触发器的,使触发器的状态保持不变。状态保持不变。 【例例7-4】边沿边沿JK触发器触发器输入信号输入信号J和和K、时钟脉冲、时钟脉冲CP、异步置位端、异步置位端 和和 的波形如图的波形如图7-24所示,试所示,试画出触发器输出端画出触发器输出端Q的波的波形,设初始状态为形,设初始状态为0。dRdS集成边沿集成边沿JK触发器触发器74LS112 双下降沿触发的边沿触发器双下降沿触发的边沿触发器用用VHDL描述的边沿描述的边沿JK触发器触发器

39、library ieee;use ieee.std_logic_1164.all;entity jkcfq is port(j,k,clk:in std_logic; q,qb:outstd_logic);end entity jkcfq;architecture art of jkcfq issignal q_s,qb_s:std_logic;begin process(clk,j,k) isbeginif (clkevent and clk=1) then if(j=0 and k=1) then q_s=0; qb_s=1;elsif (j=1 and k=0) then q_s=1;

40、qb_s=0;elsif (j=1 and k=1) then q_s=not q_s; qb_s=not qb_s; end if; end if ; q=q_s; qb=qb_s; end process;end architecture art;QQC1CP1DDCP 触发的边触发的边沿沿 D 触发器触发器 C1QQC1CPD具有异步端的具有异步端的边沿边沿 D 触发器触发器 1DSSDRRDRRDSSD执行执行 Qn+1 = D1111在在 CP 时刻时刻0011Qn111保持不变保持不变Qn011禁禁 用用不定态不定态00异步置异步置 1101异步置异步置 0010说说 明明Qn+1

41、DCPSDRD74LS74异步端低电平有效的异步端低电平有效的上升沿触发式上升沿触发式 D 触发器功能表触发器功能表7.4.2 边沿边沿D触发器触发器集成边沿集成边沿D触发器触发器74LS74芯片由两个独芯片由两个独立的上升沿触发的维持立的上升沿触发的维持-阻塞阻塞D触发器组触发器组成。成。1CMOS传输门构成的传输门构成的D边沿触发器边沿触发器 CMOS边沿边沿D触发器触发器CC4013的逻辑图如下图所示。其电路构成是的逻辑图如下图所示。其电路构成是由两个同步由两个同步D触发器串联而成,具有异步置位端触发器串联而成,具有异步置位端SD ,异步复位端,异步复位端 RD,高电平有效。高电平有效。

42、TG1 、TG2 、G1 和和 G2组成主触发器,组成主触发器,TG3 、TG4 、G3 和和G4 组成从触发器。两个反相器为输出门。要求主、从两个触发组成从触发器。两个反相器为输出门。要求主、从两个触发器的传输门的接法相反,即器的传输门的接法相反,即TG1 、TG4 开通时,则开通时,则 TG2、TG3 关闭;关闭;反之亦然。反之亦然。7.5 边沿边沿D触发器的触发器的HDL描述描述nlibrary ieee;nuse ieee.std_logic_1164.all;nentity dff isn port(clk,d,clr,pset:in std_logic;n q,qb: out st

43、d_logic;nend dff;narchitecture rtl of dff isnbeginn process(clk,pset,clr)n beginn if (pset=0) thenn q=1;n qb=0;n elsif(clr=0) thenn q=0;n qb=1;n elsif (clkevent and clk=1 )thenn q=d;n qb=not d;n end ifn end process; end rtl;注注意意( (1) ) 弄清弄清时钟触发沿时钟触发沿是上升沿还是下降沿?是上升沿还是下降沿?( (2) )弄清有无异步输入端?弄清有无异步输入端?异步置

44、异步置 0 端和异步端和异步置置 1 端端是低电平有效还是高电平有效?是低电平有效还是高电平有效?( (4) ) 边沿触发器的逻辑功能和特性方程与同步边沿触发器的逻辑功能和特性方程与同步触发器的相同,但由于触发方式不一样,触发器的相同,但由于触发方式不一样,因此,它们的逻辑功能和特性方程成立的因此,它们的逻辑功能和特性方程成立的时间不同。时间不同。边沿触发器的逻辑功能和特性边沿触发器的逻辑功能和特性方程只在时钟的上升沿方程只在时钟的上升沿( (或下降沿或下降沿) )成立。成立。( (3) ) 异步端不受时钟异步端不受时钟 CP 控制控制,将直接实现置,将直接实现置 0 或置或置 1。触发器工作

45、时,应保证异步端接非。触发器工作时,应保证异步端接非有效电平。有效电平。Q1Q1CPDC11D(a)(b)SC1R1DCPQ2Q2三、边沿触发器工作波形分析举例三、边沿触发器工作波形分析举例 解:解: 例例 设触发器初态为设触发器初态为 0,试对应输入波形画出,试对应输入波形画出 Q1、Q2 的波形。的波形。DCPQ1Q2nQD22 D 触发器特性方程为触发器特性方程为 Qn+1 = D功能是翻转功能是翻转因此因此nnQDQ2212 C110触发器初态为触发器初态为 0C1该电路的功能是:该电路的功能是:在时钟触发沿到在时钟触发沿到达时状态发生翻转,这种功能称为计数达时状态发生翻转,这种功能称

46、为计数功能,功能,相应触发器称为计数触发器。相应触发器称为计数触发器。 JCPKT解:解: 例例 设触发器初态为设触发器初态为 1,试对应输入波形画出,试对应输入波形画出 Q1、Q2 的波形。的波形。触发器初态为触发器初态为 1Q1Q1CPJC11J(a)(b)SC1R1KCPQ2Q2K1K1JTVCCC111001001SVCCRC1CP1JT1K1010CP 之前之前 J、K最后取值为最后取值为 1CP 之前之前 J、K最后取值为最后取值为 0触发器初态为触发器初态为 1Q1Q2T = 0 时,时,Qn+1 = Qn ;T = 1 时,时,Qn+1 = Qn 。这种功能称这种功能称 T 功

47、能,相应触发器称功能,相应触发器称 T 触发器。触发器。主要要求:主要要求:掌握掌握常用触发器的工作特点、符号、逻辑功能常用触发器的工作特点、符号、逻辑功能和特性方程,会画工作波形。和特性方程,会画工作波形。 了解触发器各种逻辑功能间的转换方法。了解触发器各种逻辑功能间的转换方法。 7.4 触发器的应用触发器的应用 理解触发器及其简单应用电路的分析方法。理解触发器及其简单应用电路的分析方法。一、触发器的五种逻辑功能及其转换一、触发器的五种逻辑功能及其转换 ( (一一) )触发器五种逻辑功能的比较触发器五种逻辑功能的比较无约束,无约束,但功能少但功能少无约束,无约束,且功能强且功能强令令 J =

48、 K = T即可即可令令J = K = 1即可即可 D 功能功能1 0Qn+110DQn+1 = D T 功能功能 QnQnQn+110TnnQTQ 1 RS 功能功能不定不定01 QnQn+111011000SRQn+1 = S + RQnRS = 0( (约束条件约束条件) ) JK 功能功能 Qn10 QnQn+111011000KJQn+1 = JQn + KQnT功能功能(计数功能计数功能) 只有只有 CP 输入端,输入端,无数据输入端。无数据输入端。来一个来一个CP翻转一次翻转一次Qn+1 = Qn( (二二) )不同逻辑功能间的相互转换不同逻辑功能间的相互转换1. JK D2.

49、JK T、T因此,有因此,有J = D已知已知 Qn+1 = JQn+ KQn Qn+1 = D = DQn+QQCPDC11J1KQQC11J1KQQCP1C11J1KTCP转转换换方方法法( (1) ) 写出待求触发器和给定触发器的特性方程。写出待求触发器和给定触发器的特性方程。( (3) )画出用给定触发器实现待求触发器的电路。画出用给定触发器实现待求触发器的电路。( (2) )比较上述特性方程,得出给定触发器中输入比较上述特性方程,得出给定触发器中输入 信号的接法。信号的接法。DQnK = D3. D JK已有已有 Qn+1 = D欲得欲得Qn+1 = JQn + KQn因此,令因此,

50、令nnQKQJ nnQKQJD 4. D T5. D T已有已有 Qn+1 = D 欲得欲得Qn+1 =nQT 已有已有 Qn+1 = D欲得欲得 Qn+1 = Qn因此,令因此,令D = QnnQT 因此,令因此,令D =QQCPC11DQQCPC11DTQQCPJC11DK二、触发器的应用与分析举例二、触发器的应用与分析举例 触发器由门电路构成,因此,触发器由门电路构成,因此,门电路的应用门电路的应用注意事项在这里多适用。注意事项在这里多适用。例如,例如,TTL 触发器的输触发器的输入端悬空相当于输入高电平,而入端悬空相当于输入高电平,而 CMOS 触发器触发器的输入端不允许悬空。的输入端

51、不允许悬空。应应用用注注意意 实际工作中,应根据需要选定触发器的功能实际工作中,应根据需要选定触发器的功能和触发方式。例如:同步触发器通常只用于数据和触发方式。例如:同步触发器通常只用于数据锁存,构成计数器、移位寄存器时一般要用边沿锁存,构成计数器、移位寄存器时一般要用边沿触发器。触发器。Q2Q11D1DFF1FF2石英方波石英方波振荡器振荡器4MHzC1C1CP 例例 下图为分频器电路,设触发器初态为下图为分频器电路,设触发器初态为 0,试画出,试画出 Q1、Q2 的波形并求其频率。的波形并求其频率。CP解:解:C1CPfQ1 = fCP/2 = 2 MHz, fQ2 = fCP/4 = 1

52、 MHzCPQ10Q20Q1C1对对 CP 二分频二分频对对 CP 四分频四分频两个两个 D 触发器均构成触发器均构成 CP 触发的计数触发器触发的计数触发器 1010RDSDQ1JSDC1CP1KRSRDCP解:解: 例例 试对应输入波形画出下图电路的输出波形。试对应输入波形画出下图电路的输出波形。C1CPSDSRRDQ1Qn+1 = JQn + KQn = Qn Qn+Qn Qn = Qn当异步端无信号时,触发器将在当异步端无信号时,触发器将在 CP 时翻转。时翻转。RD和和 SD为非有效电平为非有效电平例例 单脉冲发生器电路单脉冲发生器电路 电路图电路图波形图波形图工作原理工作原理n当电

53、源接通后,由于当电源接通后,由于FF1触发器的触发器的 端为端为0,所以触发器输出,所以触发器输出 =1。此时。此时FF0状态不确定。状态不确定。n经过一个时钟脉冲作用后,由于经过一个时钟脉冲作用后,由于FF0控制输入端控制输入端 则则n当用手按下按钮开关当用手按下按钮开关, FF0的状态翻转为的状态翻转为1,又因,又因 n 所以触发器所以触发器FF1也处于翻转状态。故按钮按下也处于翻转状态。故按钮按下后第一个时钟上升沿后第一个时钟上升沿 Q0由由0变变1,第二个时钟脉冲上升沿,第二个时钟脉冲上升沿Q0由由1变变0,产生一个负边沿引起,产生一个负边沿引起FF1触发器的翻转触发器的翻转, 由由1变变0 .n又由于又由于 与与FF0触发器的异步置零端相连,因此触发器的异步置零端相连,因此FF0触发器置触发器置0。经过上述过程,一个单脉冲便可产生。这个单脉冲由触发。经过上述过程,一个单脉冲便可产生。这个单脉冲由触发器器FF0的的Q0端输出,它是一个正脉冲。端输出,它是一个正脉冲。 R1Q00J10K00Q11R111 KJ1Q100 KJ1Q例例 四位流水灯电四位流水灯电 路路工作原理:工作原理:其中其中FF0和和FF1构成四进制构成四进制计数器,输出端计数器,输出端 、

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论