版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、FPGA课程设计报告设计题目:基于FPGA的FIR滤波器设计 专 业:信息类班 级:信息094班学 号:2姓 名: 指导教师:杨艳2013年1月基于FPGA的FIR滤波器的设计报告 目 录1 概述32 课程设计要求43 设计内容53.1课程设计内容53.1.1 设计题目53.1.2 设计要求53.2 设计方案的确定.63.2.1滤波器的设计方法.63.2.2 滤波器设计实现方法.73.2.3方案确定.83.3 设计方案的实现.83.3.1利用FDATool工具设计FIR数字滤波器.83.3.2 FIR数字滤波器的FPGA实现.123.4 仿真测试与分析.154 心得体会.175 参考文献.17
2、1 概 述我们学生通过这次的课设深入理解和消化了基本理论、进一步提高综合应用能力并且锻炼独立解决问题的能力,我们将数字信号处理、集成电路原理与应用和FPGA系统设计与应用几门课程融合在一起综合应用设计一个实用的数字FIR滤波器。本报告中首先讲解了这次设计的具体内容,以及所要求的数字FIR滤波器的技术指标。然后,数字滤波器的一些设计方法,并具体确定我这次设计所用的设计方案。滤波器在matlab中的设计方法应用。通过matlab得到所需滤波器的具体参数h(n),然后用这些所设计的参数,通过Quartus ii工具编程具体实现滤波器功能。这次滤波器实现过程中,用到以下小模块:延时器,加法器,乘法器,
3、减法器。报告中有具体的VHDL源码程序。仿真测试结果。2 课程设计要求及注意事项1 设计过程以小组为单位,各组设一个组长,负责组织和协调本小组的讨论、任务分工等;2 设计过程必须在本组内独立完成,不得跨组参考或抄袭,避免方案出现雷同;3 设计书一律采用专用报告纸,用统一封面装订;4 课程设计原则上在3周内做完;5 最后一周周五进行优秀设计方案评选,在各组推选代表进行方案介绍的基础上,推选出2-3个优秀设计方案。6 学有余力的学生在完成必做设计内容的基础上,可对内容进一步展开设计,以提高综合应用能力,锻炼独立解决问题的能力。3 课程设计内容3.1 课程设计题目及要求3.1.1 设计题目:基于FP
4、GA的FIR滤波器设计3.1.2 设计要求利用所学知识,采用MATLAB和FPGA相结合完成FIR滤波器的设计仿真。采用直接法或分布式算法实现FIR数字滤波器,了解两种算法的优缺点,选择其中一种算法,得出用它来实现FIR滤波器的硬件结构,对其实现方式进行研究,分别采用合适的方法来设计,最后利用FPGA器件实现FIR数字滤波器的硬件电路,并用Matlab对实现的结果进行仿真分析。设计指标:1)系统采样频率:10KHz 2)通带边缘频率:1KHz3)阻带边缘频率:2KHz4)通带最大衰减:1dB5)阻带最小衰减:47dB6)类型:FIR低通7)输入序列位宽为8位的有符号数(最高位为符号位);8)输
5、出序列位宽为16位的有符号数(最高位为符号位)。3.2 设计方案的确定3.2.1滤波器的设计方法:一般可分为时窗函数法和等波纹设计法。1. 时窗函数法一般设计步骤如下:A. 根据所需设计的数字滤波器类型(低通、高通、带通、带阻),确定线性相位数字滤波器类型。B. 根据滤波器阻带衰减,选择窗函数的类型,根据过渡带宽度确定时窗函数的长度,并根据线性相位条件进行修正。C. 确定理想数字滤波器的频率响应函数,其中为幅度特性函数,为相位特性函数。D. 计算理想滤波器的单位脉冲响应,即。E. 加窗得到设计结果,即。2. 等波纹设计一般步骤如下:A.根据滤波器的设计指标要求:边界频率、通带最大衰减、阻带最小
6、衰减等,计滤波器的长度,并确定幅度误差加权函数。B.采用雷米兹交替算法,获得所设计的滤波器的单位脉冲响应3.2.2 滤波器设计实现方法一般有线性相位FIR直接型结构和分布式算法的设计。3.2.3方案确定经过多种方案的比较,本次设计最终的方案是:等波纹法的改进的直接型结构FIR数字滤波器利用MATLAB提供的FDATOOL滤波器设计工具,采用等波纹法的设计。设计的实现为线性相位FIR滤波器的直接结构。3.3 方案实现3.3.1 利用FDATool工具设计FIR数字滤波器FIR滤波器设计系数的确定等波纹法低通滤波器:阶数:15 采样频率:10k 通带频率:1k 阻带频率:2k通带衰减1db 阻带衰
7、减:47db滤波器类型:等波纹FIR滤波器幅频响应滤波器相频响应滤波器系数导出后的系数Num*(26)Columns 1 through 9 (括号内指在FPGA中不带负号的具体移位实现,) -0.5695(右移1位) -1.4400(原数+右移1位) -2.0498(左移1位) -1.1969(原数+右移2位) 2.0784(左移1位) 7.5634(左移2位+左移1+原数+右移1位) 13.5214(左移3位+左移2位+原数+右移1位) 17.4256(左移4位+原数+右移1位) 17.4256 Columns 10 through 16 13.5214 7.5634 2.0784 -1.
8、1969 -2.0498 -1.4400 -0.56953.3.2 FIR数字滤波器的FPGA实现一,工程实现概述工程设计所用的模块有:顶层原理图文件:fir.qdf。底层vhdl文件:延时器:suoc8。实现系数相乘的mult0mult7 。加法器:add889,add41415,add51516,减法器:sub16直接型结构的FPGA实现。顶层原理图如下:我的原理说明是根据上面原理图的设计顺序逐步进行的。1 寄存器(延时器)。 原理图上标有suoc8的器件。输入8位数据,通过suoc8的D触发寄存器,达到延时的作用。2 第一级加法器 数据通过add889,就是8位数字输入,9位数字输出加法
9、器,完成第一级相加运算,因为本题是16阶FIR数字滤波器,它的滤波系数有对称的关系,所以采用上面的第一级加法器,达到简化运算的效果。3 乘法器乘法器用来将数据乘以由matlab计算得到的滤波系数,各系数的结果是通过移位运算得到,节约了硬件乘法器资源。由系数可知: -0.5695(右移1位) -1.4400(原数+右移1位) -2.0498(左移1位) -1.1969(原数+右移2位) 2.0784(左移1位) 7.5634(左移2位+左移1+原数+右移1位) 13.5214(左移3位+左移2位+原数+右移1位) 17.4256(左移4位+原数+右移1位)前4个全为负,后4个全为正。因此前四个相
10、加,后四个相加,再用后四个的结果减去前四个结果,即可得到有负号相乘的最终结果。但在乘法器设计时暂时没有考虑符号,符号问题由下面的减法器实现。4 第二级加第二级加法器为add141415。Add141415就是说14位数据加14位数据输出15位数据。在乘法级时,我已将数据全都整理成14位输出,所以这级可用同一类型加法器。5 第三级加与上一级大体相同的原理,加法器为add151516。6 减法器输出输出通过减法器sub16:16位数减去16位数结果输出16位数。由于是向减,不会有溢出现象,因此没做符号位的扩展。到此,整个FIR数字滤波器设计完毕,下面详细说明各个部分的具体实现。二,详细说明 我的说
11、明是根据上面的概要逐一展开的。我的设计是先编写各个功能的vhdl源文件,再生成功能模块,最后在顶层用原理图的设计方法连线,组成整个系统。1 寄存器(延时器) 设计中用D触发器组成寄存器,实现寄存功能。这里用来寄存一组8位的二进制数据。实现功能:在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁。源文件:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY suoc8 IS PORT( clk : IN STD_LOGIC; clear : IN STD_LOGIC; Din : IN STD_LOGIC_VECTOR(7 DOWNTO
12、0); Dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END suoc8; ARCHITECTURE a OF suoc8 IS BEGIN PROCESS(clk,clear) BEGIN IF clear='1' THEN Dout<="00000000" ELSIF clear='0' THEN IF(clk'EVENT AND clk='1') THEN Dout <= Din; END IF; END IF; END PROCESS; END a;2 第一级
13、加法器 实现两个二进制数字的相加运算。当到达时钟上升沿时,将两数输入,运算,输出结果。源文件:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_arith.ALL;ENTITY add889 isPORT(clk : in STD_LOGIC; Din1,Din2 :in signed (7 downto 0); Dout:out signed(8 downto 0);END add889;ARCHITECTURE a of add889 isSIGNAL s1: signed(8 downto 0);SIGNAL s2:
14、signed(8 downto 0);BEGIN s1<=(Din1(7)&Din1); s2<=(Din2(7)&Din2);PROCESS(Din1,Din2,clk)BEGINif clk'event and clk='1' thenDout<=s1+s2;end if;end process;end a;3 乘法器 功能:将数据乘以由matlab计算得到的滤波系数。总共有8个乘法器。源文件(以mult1为例):LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_
15、arith.all;ENTITY mult1 isPORT( clk : IN STD_LOGIC; Din : IN SIGNED (8 DOWNTO 0); Dout : OUT SIGNED (13 DOWNTO 0);END mult1;ARCHITECTURE a OF mult1 ISSIGNAL s1 : SIGNED (13 DOWNTO 0);-SIGNAL s2 : SIGNED (10 DOWNTO 0);SIGNAL s3 : SIGNED (13 DOWNTO 0);BEGINP1:process(Din)BEGINs1(13 DOWNTO 5)<=Din;s1
16、( 4 DOWNTO 0)<="00000"-s2(10 DOWNTO 1)<=Din;-s2(0)<='0'if Din(8)='0' then s3<=("00000"&Din)+("000000"&Din(8 DOWNTO 1);else s3<=("11111"&Din)+("111111"&Din(8 DOWNTO 1);end if;end process;P2: PROCESS(clk)B
17、EGINif clk'event and clk='1' thenDout<=s3;end if;END PROCESS;END a;4 第二级加对于乘了滤波系数的数据,进行第二次的加和,以add41415为例源码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_arith.ALL;ENTITY add141415 isPORT(clk : in STD_LOGIC; Din1,Din2 :in signed (13 downto 0); Dout:out signed(14 downto
18、 0);END add141415;ARCHITECTURE a of add141415 isSIGNAL s1: signed(14 downto 0);SIGNAL s2: signed(14 downto 0);BEGIN s1<=(Din1(13)&Din1); s2<=(Din2(13)&Din2);PROCESS(Din1,Din2,clk)BEGINif clk'event and clk='1' thenDout<=s1+s2;end if;end process;end a;5输出减法器乘法系数为正的各分支之和减去乘
19、法系数为负的各分支。Din1接正,Din2接负。源码如下sub16:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_arith.ALL;ENTITY sub16 isPORT(clk : in STD_LOGIC; Din1,Din2 :in signed (15 downto 0); Dout:out signed(15 downto 0);END sub16;ARCHITECTURE a of sub16 isSIGNAL s1: signed(16 downto 0);SIGNAL s2: signed(16 dow
20、nto 0);SIGNAL s3: signed(16 downto 0);BEGIN s1<=Din1(15)&Din1; s2<=Din2(15)&Din2;PROCESS(Din1,Din2,clk)BEGINif clk'event and clk='1' thens3<=s1-s2;end if;end process;Dout<=s3(16 downto 1);end a;3.4 仿真测试与分析为了测试15阶等波纹FIR低通滤波器的滤波性能,本设计加入了输入 的信号,其中是叠加在上的噪声。如图4-4所示,假设抽样频率为
21、1000,则经过抽样的输入序列为: ,取n=0,139,由于为浮点数,本设计将扩大24倍,得到,然后再取整。具体如表4-4所示:表4-4 输入序列的处理n取整n取整n取整n取整016.00161032.00322016.001630001-13.49-1311-0.19021-18.50-1931-31.80-32220.94211231.21312211.0511320.7813-8.73-913-1.74-223-23.26-2333-30.25-30425.40251428.9429246.597343.0535-4.68-415-4.6-525-27.31-2735-27.31-276
22、28.94291625.4025263.053366.577-1.74-217-8.7-927-30.25-3037-23.26-23831.21311820.9421280.7813811.05119-0.19019-13.49-1329-31.80-3239-18.50-19抽样,放大取整后的40个输入数据如下:Din=16,-13,21 ,-9, 25,-4,29, -2, 31,0,32, 0, 31, -2,29, -5,25, -9, 21,-13,16,-19, 11,-23,7,-27,3,-30, 1, -32,0, -32,1,-30, 3,-27,7,-23,11,-19
23、滤波系数:h=-0.5,-1.4,-2.0,-1.2,2.0,7.5,13.5,17.4,17.4,13.5,7.5,2.0,-1.2,-1.4,-0.5Quartus II 仿真波形如下:如仿真图输出为:Dout=-4,-9,-11,-10,4,25,57,89,126,167,222,285,358,427,486,532,560,574,573,558,527,484,430,366,295,217,133,45,-45,-133,-217,-295,-366,-430,-485,-529,-560,-576,-560,-525,-476,-418,-356,-299,-243,-191
24、,-136,-82,-33,-1,17,12,5Matlab中卷积结果如下:y=round(conv(h,Din)/2)y = Columns 1 through 24 -4 -8 -12 -9 3 27 56 90 126 170 222 287 358 432 490 536 563 583 582 571 539 500 443 383 Columns 25 through 48 309 234 147 61 -34 -121 -210 -286 -363 -425 -486 -529 -566 -580 -585 -568 -539 -489 -434 -371 -315 -258 -
25、207 -149 Columns 49 through 54-96 -45 -11 9 11 5仿真结果与matlab计算结果比较可知基本一样。在matlab中输出函数如图:t=0:.5:50;y=sin(0.05*pi*t)+cos(pi*t);plot(t,y);抽样40点x(n)如下:t = 0 : 1 : 39;y = sin(0.05*pi*t)+cos(pi*t);stem(t, y);仿真输出在matlab中杆状图表示:Dout=-4,-9,-11,-10,4,25,57,89,126,167,222,285,358,427,486,532,560,574,573,558,527
26、,484,430,366,295,217,133,45,-45,-133,-217,-295,-366,-430,-485,-529,-560,-576,-560,-525,-476,-418,-356,-299,-243,-191,-136,-82,-33,-1,17,12,5t=0:52;stem(t,Dout);滤波后有Dout得如下图:结论:比较仿真输出与matlab计算输出几乎完全一样,可得所设计是正确的。4 心得体会在这次的课程设计中,将以前的所学知识得到一个综合的应用和巩固学习。首先,这次课设能够完全顺利的实现最终的结果,要感谢我们的指导老师杨艳老师:老师您辛苦了。然后,通过这次
27、课设学习到了,具体滤波器的设计与应用。这次设计的是个低通滤波器,但可以举一反三的效果,以后无论是高通,带通,带阻滤波器都会设计应用。另外,这次课设让我重新熟悉到了,功能强大的matlab工具软件。通过课设现在可以进一步的对matlab中一些功能函数的运用。最后,对嵌入式FPGA的具体系统设计应用有了一个更加确切的认识与实践。5 参考文献1刘爱荣.EDA技术与CPLD/FPGA开发应用简明教程M. 北京:清华大学出版社,2007.2潘松.EDA技术实用教程M. 北京:清华大学出版社,2006.3薛年喜. MATLAB在数字信号处理中的应用M. 北京:清华大学出版社,2003.4程佩青. 数字信号
28、处理教程M. 北京:清华大学出版社,2003. 48 / 48文档可自由编辑打印附件1: 大学本科毕业论文(设计)工作程序要求阶段工作程序及要求完成时间第一阶段(准备阶段)(一)确定题目和指导教师1.学院(系)成立毕业论文(设计)领导小组;2.学院(系)向教师(具有讲师以上职称或具有研究生学历的助教)分派指导论文(设计)任务,院(系)公布备选题目一览表;3.学院(系)召开指导教师和学生参加的毕业论文(设计)布置大会;4.学生根据自己的专业兴趣、学术特长选定论文题目,确定指导教师,也可与指导教师协商后确定论文题目;5.学院(系)将选题结果汇总成表,报教务处实践教学科备案。每学年第一学期第8周前(
29、二)做好论文开题、写作的准备工作1.指导教师向学生传达毕业论文(设计)要求及有关管理规定,师生沟通交流课题任务,使学生正确理解课题,为开题做准备;2.学生确定论文题目后,应在指导教师的指导下进行文献检索、实习调研以及实验等论文前期准备工作。每学年第一学期第8周以后第二阶段(开题及写作阶段)(三)做好开题报告教研室组织教师指导学生做好开题报告,院(系)检查开题情况,教务处抽查。每学年第二学期第2周前(四)认真进行毕业论文(设计)指导、检查工作。1指导教师做好指导工作,定期检查学生的工作进度和质量,及时解答和处理学生提出的有关问题;2学院(系)要随时了解、检查论文写作进展情况,及时研究协调处理毕业
30、论文写作过程中的有关问题。每学年第二学期(五)毕业论文中期检查教研室组织中期毕业论文检查工作,做好记录,学生须向指导教师汇报工作进度和工作质量,并填写中期检查表。每学年第二学期第8周第三阶段(评审答辩阶段)(六)指导教师评定毕业论文答辩前一周,学生将毕业论文交指导教师,指导教师需认真审阅,写出评语和评分。每学年第二学期第13-14周(七)评阅老师评阅毕业论文学院(系)或教研室安排有关教师,详细评阅每个学生的毕业论文,给出评分。(八)组织答辩学院(系)成立答辩委员会,组织答辩小组对学生进行论文答辩,答辩日程安排通知教务处,并做好答辩记录,给出答辩成绩。每学年第二学期第15周前(九)综合评定成绩学
31、院(系)组织专门人员检查评分标准执行情况,进行成绩汇总和统计;毕业论文成绩及时报送教务处。每学年第二学期第15周前(十)毕业论文归档管理学院(系)收集并整理归档毕业论文有关材料,包括鉴定表(2份)、开题报告(1份)、中期检查表(1份)、评分表(1份)、论文(设计)(1份)及相应电子文档,填写本科生毕业论文(设计)工作总结表,一份交教务处实践教学科。每学年第二学期第16周前(十一)校级优秀毕业论文评选每学年第二学期第17周前注:1.提前或推延进行毕业论文(设计)的,各阶段要求相同,日程自定;2.毕业论文(设计)工作三个阶段时间安排,可根据各专业特点适当调整。 附件2: 大学本科毕业论文(设计)撰
32、写规范一、毕业论文(设计)文本结构毕业论文(设计)主要由8个部分组成:封面;目录;题目;中外文摘要;正文;参考文献;谢辞;附录。二、毕业论文(设计)各部分规范1. 封面封面按学校规定的格式填写,包括论文(设计)题目、作者姓名、指导教师姓名、学科专业等内容。2. 目录目录由毕业论文(设计)各部分内容的顺序号、名称和页码组成,目次中的内容一般列出二级标题即可。目录应该用“”连接名称与页码。3. 题目论文(设计)题目要恰当、简明、凝练,能够反映论文的主题及其内容,做到文、题贴切。题目中不使用非规范的缩略词、符号、代号和公式,通常不采用问话的方式。题目所使用的词语应当考虑到有助于选择关键词和编制题录、
33、索引等。题目的中文字数一般不超过20个字,外文题目不超过10个实词,中外文标题应一致,居中编排格式。4. 中外文摘要及关键词摘要是对毕业论文(设计)内容不加注释和评论的简短陈述。摘要主要是说明研究工作的目的、方法、结果和结论。摘要应具有独立性和自含性,即不阅读全文,就能获得毕业论文(设计)必要的信息,使读者确定有无必要阅读全文。摘要中应用第三人称的方法记述论文的性质和主题,不使用“本文”、“作者”等作为主语,应采用“对进行了研究”、“报告了现状”、“进行了调查”等表达方式。排除在本学科领域已成为常识的内容,不得重复题目中已有的信息。语句要合乎逻辑关系,尽量同正文的文体保持一致。结构要严谨,表达
34、要简明,语义要确切,一般不再分段落。对某些缩略语、简称、代号等,除了相邻专业的读者也能清楚理解的以外,在首次出现处必须加以说明。摘要中通常不用图表、化学结构式以及非公知公用的符号和术语。毕业论文(设计)的摘要包含中文摘要和外文摘要。中文摘要字数约为200300字,外文摘要约为200300个实词。关键词(Keywords)是为了文献标引,从汉语主题词表或论文中选取出来,用以表示全文主题内容信息的词语或术语。关键词不宜用非通用的代号和分子式。关键词一般为36个。关键词的排序,通常应按研究的对象、性质(问题)和采取的手段排序。中文关键词两词之间应留出一个汉字的空间,不加任何标点符号;外文关键词之间用
35、分号隔开。5. 正文毕业论文(设计)的正文包括前言(引言)、正文、结论三个部分。外语类专业毕业生必须用所学专业外语撰写。毕业论文(设计)的篇幅一般6000字左右。(1)前言(引言)前言(引言)主要说明研究工作的目的、范围,对前人的研究状况进行评述分析,阐明研究设想、研究方法、实验设计、预期结果、成果的意义等。(2)正文正文是对研究工作与研究内容的详细表述,一般由标题、文字、表格或公式等部分组成。该部分要运用选定的研究方法分析问题、论证观点,尽量反映出研究能力和学术水平。正文是毕业论文(设计)的核心部分,占据主要篇幅。正文是论文的主体,要求观点清晰、论点正确、论据可靠、论证严密、层次清楚。正文中
36、的图表和计量单位要规范。图须有序号、图题、图例、量和单位,图序号须用阿拉伯数字,与图题空 1 格,写在图下方;表格采用 3线表,表头线和表尾线为粗黑线,表两边不要串写文字,表序号须用阿拉伯数字,与标题空 1格,写在表上方;一律使用法定计量单位。(3)结论结论是对整个研究工作进行归纳和总结。结论应当准确、完整、明确、精练。如不可能导出应有的结论,也可以没有结论而进行必要的讨论,阐述本课题研究中存在的问题及进一步开展研究的建议。6. 谢辞(致谢)谢辞(致谢)是对给予各类资助、指导和协助完成科研工作,以及提供各种条件的单位和个人表示的感谢。谢辞应实事求是。7. 参考文献文后参考文献,是论文的重要组成
37、部分,按顺序和规定的格式列在正文之后。所列出的文献,应当是作者亲自阅读或引用过的,出处要翔实,要进行核实查对。所引用的文献应是公开出版的刊物或著作,内部刊物一般不引用。正文中参考文献的标注方法,是在引用文字(即所引用的词组、句子、段落等)结束处的右上角标出参考文献序号。全文参考文献的序号要按照从小到大的次序排列,某一文献多次引用时,要用同一序号标出。文后参考文献的编写方式,是依正文中参考文献序号的次序排列所有的参考文献,且一个参考文献只能出现一次。8. 附录凡不宜收入正文中而又有价值的内容,可编入毕业论文的附录中。附录内容主要包括:正文中所使用公式的推导与证明过程;使用的主要符号、单位、缩写、
38、程序全文及其说明等;在正文中无法列出的实验数据;重复性数据图表;调查问卷等。附件3: 大学本科毕业论文(格式)格式(理科)(说明:本表供理工科专业学生用,以下所有红色、蓝色文字仅供参考,学生在写作论文时请保留字体、字号,改写或删除掉文字,黑色文字请保留。每一页的上方(天头)和左侧(订口)分别留边25mm,下方(地脚)和右侧(切口)应分别留边20mm,装订线5 mm,页眉和页脚为0。论文题目使用黑体三号字,正文使用宋体小四号字,行距为单倍行距;一级标题段前段后为0.5行,正文段前段后为0,字符间距为标准。为保证打印效果,学生在打印前,请将全文字体的颜色统一设置成黑色。以上说明参阅后请自行删除,包
39、括本文本框!)(顶头空2行)目 录(4号黑体,居中)摘要1关键词1Abstract1Key words1引言(或绪论)11材料与方法Y1.1材料 Y1.2方法 Y1.2.1×××××Y1.2.2×××××Y1.2.3×××××Y1.2.4×××××Y2××Y2.1×××××Y3××× Y(略)X &
40、#215;××××(正文第X章)Y致谢Y参考文献Y附录A ××××(必要时)Y附录B ××××(必要时)Y图1 ××××(必要时)Y图2 ××××(必要时)Y表1 ××××(必要时)Y表2 ××××(必要时)Y注:1. 目次中的内容一般列出“章”、“节”、“条”三级标题即可;2X、Y表示具体的阿拉伯数字;毕业论文(设计
41、)题目(3号黑体)××××专业学生 学生姓名指导教师 指导教师姓名(小四仿宋体)摘要:××××××××××××××××××(200300字,五号宋体)×××××××××××××××××关键词:×
42、15;× ×××× ××××× ×××(3-5个,五号宋体)Title(3号Times New Romar)Student majoring in ×××× Name Tutor Name(小四Times New Romar)Abstract: ××××××(五号Times New Romar,200300个实词)××××
43、15;×××××××××××××××××Key words: ×××;××××;×××××;×××(3-5实词个,五号Times New Romar)引言×××××(小四宋体)××××
44、15;×××××××××××××××××××××××××××××××××××××××××××××××××
45、15;×××××××××××××××××。1 材料与方法 (仿宋体四号)11 ××××××××××(黑体小四号)××××××(小四宋体)×××××××××××&
46、#215;××××××××××××××××××××××××××××××××。111 ××(仿宋体小四号)×××× ×××××××××
47、;×××××××××××××××××(小四宋体)××××××××××××××××××××××××××××××××
48、;××××。112 ××(仿宋体小四号)×××× ××××××××××××××××××××××××××(小四宋体)××××××××××
49、15;×××××××××××××××××××××××××。12 ××××××××××(黑体小四号)2 结果与分析 (仿宋体四号)21 ×××××××××
50、5;(黑体小四号)××××××(小四宋体)×××××××××××××××××××××××××××××××××××××××××
51、15;××。211 ××(仿宋体小四号)×××× ××××××××××××××××××××××××××(小四宋体)××××××××××××&
52、#215;×××××××××××××××××××××××。212 ××(仿宋体小四号)×××× ××××××××××××××××××
53、;××××××××(小四宋体)××××××××××××××××××××××××××××××××××××。22 ××××&
54、#215;×××××(黑体小四号)3 讨论 (仿宋体四号)31 ××××××××××(黑体小四号) ××××××(小四宋体)××××××××××××××××××××××&
55、#215;×××××××××××××××××××××。32 ××××××××××(黑体小四号)致谢××××××(小四宋体)×××××××××
56、××××××××××参考文献:1 作者姓名,作者姓名.参考文献题目J. 期刊或杂志等名称,年份,卷(期数):页码.2 刘凡丰. 美国研究型大学本科教育改革透视J . 高等教育研究,2003,5(1):18-19.没有卷的就直接写2003(1)(本条为期刊杂志著录格式)3 谭丙煜.怎样撰写科学论文M.2版.沈阳:辽宁人民出版社,1982:5-6.(本条为中文图书著录格式)4 作者姓名. 参考文献题目D.南京:南京农业大学,2002:页码.(本条为硕士、博士论文著录格式)5 作者姓名. 参考文献题目
57、N.人民日报,2005-06-12.(本条为报纸著录格式)6 作者姓名. 参考文献题目C/ 作者姓名.论文集名称.城市:出版单位(社),年代:页码.(本条为论文集著录格式)7 外国作者姓名. 参考文献题目M.译者(名字),译.城市:出版单位,年代:页码.(本条为原著翻译中文的著录格式,多个译者可写为:*,*,*,等译.)外文文献著录格式参照中文的(五号Times New Romar)。注:由于网站资料权威性不确定,且目前中国期刊网和电子图书资源很丰富,故网站资料不得作为毕业论文(设计)的参考文献文献类型标志说明:普通图书 M ,会议记录C,汇编G,报纸N,期刊J,学位论文D,报告R,标准S,专
58、利P,数据库DB,计算机程序CP。论文中图的具体要求为: 主线粗于辅线(座标线) 图题,小5黑(句末无标点) 标值线(座标上的刻度线)一律在图的内侧 图例一律在图题的上方或在图中,6宋 图注一律在图题的下方,6宋 标目(座标的文字说明)及图内文字,6宋 图版(照片)说明在图题之下,6宋,文字一般接排,如:A.麦穗形态;B.花原基 论文中表格的具体要求为: 表题:小5黑,居中(句末无标点)
59、;表内容:6宋 数字一般以小数点位数对齐,数值后表示差异显著性的字母右肩上标 表注:6宋,各注之间用“;”隔开附件4: 大学本科毕业论文(格式)格式(文科)(说明:本表供文科专业学生用,以下所有红色、蓝色文字仅供参考,学生在写作论文时请保留字体、字号,改写或删除掉文字,黑色文字请保留。每一页的上方(天头)和左侧(订口)分别留边25mm,下方(地脚)和右侧(切口)应分别留边20mm,装订线5 mm,页眉和页脚为0。论文题目使用黑体三号字,正文使用宋体小四号字,首行缩进2个字符,行距为单倍行距;一级标题段前段后为0.5行,正文段前段后为0,字符间距为标准
60、。为保证打印效果,学生在打印前,请将全文字体的颜色统一设置成黑色。以上说明参阅后请自行删除,包括本文本框!)(顶头空2行)目 录(4号黑体,居中)摘要1关键词1Abstract1Key words1引言(或绪论)1一、×××××Y(一)×××××Y1××××× Y(1)×××××Y(2)×××××Y(3)×××
61、5;×Y2×××××Y3××Y(二)×××××Y1××× Y二、×××××Y(略)X ×××××(正文第X章)Y致谢Y参考文献Y附录A ××××(必要时)Y附录B ××××(必要时)Y图1 ××××(必要时)Y图2
62、215;×××(必要时)Y表1 ××××(必要时)Y表2 ××××(必要时)Y注:1. 目次中的内容一般列出“章”、“节”、“条”三级标题即可;2X、Y表示具体的数字;毕业论文(设计)题目(3号黑体)××××专业学生 学生姓名指导教师 指导教师姓名(小四仿宋体)摘要:×××××××××××××××&
63、#215;××(200300字,五号楷体)×××××××××××××××××关键词:××× ×××× ××××× ×××(3-5个,五号楷体)Title(3号Times New Romar)Student majoring in ××
64、15;× Name Tutor Name(小四Times New Romar)Abstract: ××××××(五号Times New Romar,200300个实词)××××××××××××××××××××××Key words: ×××;×××&
65、#215;;×××××;×××(3-5个实词,五号Times New Romar)引言×××××(小四宋体)××××××××××××××××××××××××××××××&
66、#215;×××××××××××××××××××××××××××××××××××××××××。一、 ×××××××
67、15;× (楷体四号)(一)××××××××××(黑体小四号)1××(楷体小四号)××××××××××(宋体小四)×××××××××××××××××××××
68、215;××××××××××××××××××××××。(1)××(宋体小四号)××××××××××(宋体小四)××××××××××××
69、15;×××××××××××××××××××××××××××××××××××××××××××。(2)××(宋体小四号)××××2××(楷体小四号)
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 服务提供商销售协议
- 国防生培养协议书
- 2024版个人二手车辆转让合同范本
- 房屋拆迁合同纠纷处理办法
- 聘用合同范本简单2024年
- 代理证券买卖协议书范本
- 正规的食堂承包合同范本
- 老人结伴旅游免责协议书
- 施工分包合同书
- 劳务合同书范本汇编
- 食品委托配送运输合同范本共
- 驻村干部应知应会试题附有答案
- 教科版小学科学四上《3.3用橡皮筋驱动小车》课件
- 主题三:红色之美 第12课《置死地而后生-飞夺泸定桥》 课件
- 2023年高考生物重点、难点、热点暨命题趋势和复习指导课件44
- 湖北省大冶市红峰矿区建筑石料用(熔剂用)石灰岩矿矿产资源开发利用与生态复绿方案
- 湖北省阳新县富池镇曹家山矿区建筑石料用石灰岩矿矿产资源开发利用及生态复绿方案
- 测井原理及方法
- 建筑施工承插型盘扣式钢管支架安全技术标准
- 土地管理法培训课件
- 当代媒介素养 课件 第六章 报刊媒介素养
评论
0/150
提交评论