(精选)用友NC57+oracle10g安装文档_第1页
(精选)用友NC57+oracle10g安装文档_第2页
(精选)用友NC57+oracle10g安装文档_第3页
(精选)用友NC57+oracle10g安装文档_第4页
(精选)用友NC57+oracle10g安装文档_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、用 友 软 件 安装概要NC57的安装共有六步:1. oracle的安装2. 产品的安装3. NcSysConfig.bat文件的设置4. 中间件的启动5. 客户端的安装与浏览器的设置6. 系统管理设置第一章 oracle数据库的安装1.1 软件准备从网上下载一个oracle安装文件,方法很多,例如从官方下载、用迅雷下载、用电驴下载,在此不多说了。1.2 安装数据库1.2.1 选择安装方法主目录位置设置数据库文件的位置,安装类型选择“企业版(1.3GB)”,勾选创建启动数据库(勾选则在安装完数据库是创建数据库实例),选择全局数据库名(这里我选择了orcl)和数据库口令(sys)注意数据库名和口

2、令都要以字母开头。完成点击下一步。如图:点击下一步后会出现以下界面,如果机器够快的话,下面的界面会很快闪过。1.2.2 产品先决条件检查这里一般不需要我们的操作,只要注意每项检查都通过就行,不是操作系统很有问题,这一步一般没什么事直接点下一步。1.1.3 安装直接点击安装,没什么事。然后出现以下界面再后面是这个界面很快又会弹出一个窗口,继续安装。完成后是这个界面。124 口令设置点击口令管理,我们需要设置下口令。早期版本中oracle提供了默认口令,但出于安全性考虑,10g版本不在提供默认口令,而需设置。点击后进入口令管理界面如下。出于方便,对于sys用户,口令设置为sys,system设置为

3、system。点击确定,完成口令的设置。点确定完成安装。安装结束,退出安装程序。第二章 建立表空间2.1 软件选择建立表空间,可以用oracle自带的SQL PLUS ,但是它是单行执行,不推荐使用,这里我采用PL/SQL DEVELOPER工具,他可以很方便的在网上下载。安装完成后如下界面密码是之前设置的sys。点ok进入,选择文件,新建一个SQL窗口。在SQL窗口中复制以下内容:CREATE TABLESPACE NNC_DATA01 DATAFILE 'C:oracleproduct10.2.0oradataorclnnc_data01.dbf' SIZE 500M AU

4、TOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ; CREATE TABLESPACE NNC_DATA02 DATAFILE 'C:oracleproduct10.2.0oradataorclnnc_data02.dbf' SIZE 300M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ; CREATE TABLESPACE NNC_DATA03 DATAFILE 'C:oracleproduct10.2.

5、0oradataorclnnc_data03.dbf' SIZE 500M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 512K ;CREATE TABLESPACE NNC_INDEX01 DATAFILE 'C:oracleproduct10.2.0oradataorclnnc_index01.dbf' SIZE 500M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ; CREATE TABLESPACE

6、 NNC_INDEX02 DATAFILE 'C:oracleproduct10.2.0oradataorclnnc_index02.dbf' SIZE 300M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ; CREATE TABLESPACE NNC_INDEX03 DATAFILE 'C:oracleproduct10.2.0oradataorclnnc_index03.dbf' SIZE 500M AUTOEXTEND ON NEXT 100M EXTENT MANAG

7、EMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_iufo DATAFILE 'C:oracleproduct10.2.0oradataorclnnc_iufo.dbf' SIZE 200M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ; CREATE USER NC IDENTIFIED BY NC DEFAULT TABLESPACE NNC_DATA01 TEMPORARY TABLESPACE temp;GRANT connect

8、,dba to NC;CREATE USER iufo IDENTIFIED BY iufo DEFAULT TABLESPACE NNC_iufo TEMPORARY TABLESPACE temp;GRANT connect,dba to iufo;注意下图中框的表空间路径要根据你数据库的位置设置。完成后点执行,大约要几分钟。注意此处的用户名为NC,也可以为其他,但是要记住该用户名,后面会用到。完成关闭PL/SQL DEVELOPER。第三章 安装NC57产品3.1 明确安装顺序各文件夹要按以下顺序安装,否则可能会出错。安装方法很简单,选择目录选择产品,一路下一步即可。nc_uap客户化&

9、#160;nc_portal企业门户 nc_pd工程基础数据工程基础数据nc_fi财务会计总账会计平台现金管理平台应收、应付借款报销财务公共web报销nc_tpb全面计划预算计划预算基础全面预算费用预算nc_co_cm管理会计成本管理nc_tm资金管理账户管理资金结算资金调度网上银行存款管理资金票据资金计划综合授信信贷管理资金预测资金监控nc_scm供应链管理存货核算供应链基础设置供应商管理采购计划采购管理销售管理退货管理库存管理内部交易委外加工运输管理合同管理U8零售管理销售计划价格管理信用管理价保管理返利管理供应链报表nc_scm_admin供应链管理盘 nc_qc质量

10、管理 nc_am资产管理固定资产资产信息管理资产使用管理资产租赁管理资产公共nc_mm生产制造需求管理主生产计划物料需求计划排产管理生产订单管理最终装配计划车间作业管理检修管理制造执行系统装备制造管理 nc_hr人力资源人力资源基础盘人力资源规划组织机构管理人员信息管理人员变动管理人员合同管理薪酬管理福利管理时间管理招聘管理培训管理绩效管理政策制度管理综合报表数据分析中心员工自助nc_hr_pd人力资源预制业务数据预制自定义档案预制nc_iufo网络报表含合并报表集团报表nc_xbrl集团报表XBRL集团报表XBRL nc_eg 企业治理企业治理 3.2

11、 配置 ncsysconfig.Bat 文件在代码中(即产品安装到的文件夹)根目录的bin文件夹中找到ncsysconfig.bat文件,打开。打开后出现以下界面,选择data source 点击读取按钮,在点击修改,按图中的设置设置参数,数据源名称填orcl,数据库类型选择oracle10g,数据库/odbc填orcl,数据源oid标识填A1,用户名和密码都为NC(在建立表空间是设置的)。点击确定,再点击测试,如果测试成功,再点下保存就好了。确定保存,如果没有什么问题的话,测试会出现以下画面。下面配置下sever选项,如下图点击读取,然后,在协议定义中如上图输入ip地址和端口号,这是通过浏览

12、器访问系统是所使用的地址。点击保存完成配置。第四章 中间件启动与停止运行产品根目录下的startup.bat,等待一段时间,中间件启动。如需关闭中间件只需运行根目录下的stop.bat文件。中间件完全启动后会出现如下界面,需要注意的是启动过程中该窗口不可以关闭,关闭了,服务就会停止。第五章 系统管理设置5.1 NC登陆的两种方式NC 可以通过浏览器登陆,也可以通过客户端登陆,在数据量较多的情况下,推荐使用客户端登陆,浏览器登陆在数据太多时,可能会出现缓存区溢出,产生不可知的错误。5.2 浏览器登陆5.2.1 浏览器设置为使得nc的插件能够正常安装,需要对浏览器进行设置,打开浏览器(浏览器很多种

13、但Internet选项类似),找到 “Internet选项”打开。先设置可信站点。再设置安全级别。5.2.2 浏览器登陆打开浏览器,在地址栏输入:80/,回车进入系统。点击后会进入登陆界面,期间会要求安装插件,要选择安装。之后操作与客户端登陆一致。5.3 客户端登陆5.3.1 生成客户端安装盘启动ncsysconfig.bat文件,选择deployment选项,如下图。点击生成客户安装盘,在出现的界面中修改主机名为“”,(不改的话默认为主机名,安装文件放在别的机子上很可能就不能用,考虑到通用性,改一改比较好)点击生成,开始安装盘的生成。这个过程大约要几分钟,注意输出路径,这是生成的客户端安装盘的位置,这个位置可以自己设置。完成后,在输出路径可以找到三个文件,如下点击setup.exe完成客户端的安装5.3.2 NC的登陆如下图找到启动NC客户端,为方便下次使用,可以右键该图标发送到桌面快捷方式。下次可以从桌面直接启动。打开客户端后会出现两个界面,一个DOS界面,一个NC窗体,两个窗口都不能关闭保持打开。在第一次登陆时帐套只有“系统管理”,以为还没有简历其他的帐套,用户默认为root,密码为空

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论