版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 EDA课程设计报告 题目: 流 水 线 乘 法 器 的 输 入 设 计 班级:电科1303 学号: 1402130312 1402130309 姓名: 谷南南 吴佳立 2016 年 5月 目录l一 设计任务及目标31.1程序设计目标:31.2程序运行环境:3l二 程序工作原理32.1程序原理32.2 程序电路:4l三 课程总结63.1学习感悟:6l四 参考文献6 llllll 一 设计任务及目标1.1程序设计目标:流水线是让生产制造变得有条有序的一种生产模式和机器,大大的提高生产率,是现代化企业最常用的方式!那么生产中有些流水线就是以乘法为基本计算,所以我们的目标就是设计一个乘法流水线程序。
2、1.2程序运行环境:本次我们的程序都是在Quartus 软件为编译环境下进行的。l 二 程序工作原理2.1程序原理8位流水线乘法累加器的设计是以原理图为工程,以VHDL文本描述和宏功能模块混合输入实现的。library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_ARITH.all;use IEEE.STD_LOGIC_UNSIGNED.all;entity Cfq isport(clk :in std_logic;a :in std_logic_VE
3、CTOR(7 downto 0);b :in std_logic_VECTOR(7 downto 0);cout:out std_logic_VECTOR(15 downto 0);end Cfq;architecture Cfq of Cfq issignal a1,b1:std_logic_vector(3 downto 0);signal a2,b2:std_logic_vector(7 downto 4);
4、signal cout1:std_logic_vector(15 downto 0);signal cout2:std_logic_vector(15 downto 0);signal a1b1,a2b1,a1b2,a2b2:std_logic_vector(15 downto 0);beginprocess(a,b,clk)beginif clk'event and clk='1' thena1b1<="0000"
5、&(a(5 downto 0) *b(5 downto 0);a2b1<="00"&(a(7 downto 6)*b(5 downto 0)&"000000"a1b2<="00"&(a(5 downto 0)*b(7 downto 6)&"000000"a2b2<=(a(7 downto 6)*b(7
6、;downto 6)&"000000000000"end if;end process;process(clk)beginif clk'event and clk='1' thencout1<=a1b1+a2b1;cout2<=a1b2+a2b2;end if;end process;process(clk)beginif clk'event and clk='1' thencout<=cout1+cout2;end if;end process;end Cfq;2.2 程序电路: 2.3 波形仿真:工程仿真波形如下:3.1学习感悟:通过设计过程,我们能够体会到Quartus
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 二零二五年度农村个人地基使用权转让及宅基地置换合同3篇
- 2025年农村堰塘生态农业与乡村旅游合作开发合同
- 2025年度员工薪酬福利及晋升管理体系工资合同3篇
- 二零二五年度航空航天配件赊销服务合同3篇
- 二零二五年度数据中心机房租赁协议含网络及安全服务3篇
- 二零二五年度恋爱关系维系与责任分配协议3篇
- 二零二五年度企业年会礼品定制及派发合同3篇
- 2025合同样例项目工程建设合作合同范本
- 二零二五年度养殖产业链供应链金融服务合同书人3篇
- 2025年度新材料研发营销策划合作协议3篇
- 初二期末班主任学生评语
- YY/T 0338.1-2002气管切开插管 第1部分:成人用插管及接头
- GB/T 32491-2016玻璃纤维增强热固性树脂管及管件长期静水压试验方法
- 书名号测试的文档
- 交大医学院研究生现代免疫学基础和进展《免疫学原理》考试重点
- 全文解读改革开放简史专题解读
- 热电厂工程燃煤系统施工方案
- 福建省南平市各县区乡镇行政村村庄村名明细及行政区划代码
- 金融科技课件(完整版)
- 中国建筑史经典题型
- 计算机信息系统分级保护方案
评论
0/150
提交评论