智能汽车超载报警_第1页
智能汽车超载报警_第2页
智能汽车超载报警_第3页
智能汽车超载报警_第4页
智能汽车超载报警_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、智能汽车超载报警器课程设计一、设计要求采用MCS5係列单片机构建系统。如果汽车超载,报警器发出声或光报警 信号,且超载阈值可设置成5吨和10吨两挡。二、总体方案测量压力的传感器多种多样,比如:压电式压力传感器可以 用在智能汽车超载报警器.压电式传感器可以静态测量.产品样本上 就有超载限荷力传感器。但是压电式压力传感器对放大器要求高,对绝缘要求高,价格高.自己设计电路,静态测量,有难点.因此,不如用 应变片的容易成功。被称重物停在秤台上,在重力的作用下,秤台将重力传递至传感 器,导致附着在传感器上的弹性体发生变形,则弹性体应变梁上的应 变电阻片及桥路失去平衡,输出与重量成正比的电信号,经线性放大

2、 器将信号放大,再经A/D将模拟信号转换为数字信号,然后经过单片 机处理,驱动报警器,从而实现超载报警。对于 5t和10t的换挡, 可以采用按钮形式,进行切换。基本工作原理框图如下:三、硬件原理图1、电阻应变片电阻应变片的工作原理是基于电阻应变效应, 即在导体产生机械 变形时,它的电阻值相应发生变化。应变片是由金属导体或半导体制 成的电阻体,其阻值将随着压力所产生的变化而变化。对于金属导体, 电阻变化率的表达式为:(1 2 )式中:卩一材料的泊松系数;£ 应变量。通常把单位应变所引起电阻相对变化称作电阻丝的灵敏系数,对于金属导体,其表达式为:f A/? 7?、k° = -

3、= (1 + 2“)因此:a = Ee在外力作用下,应变片产生变化,同时应变片电阻也发生相应变化。当测得阻值变化R时,可得到应变值£,根据应力与应变关系,得到应力值为:a = Ew式中:(T -应力,£ -应变(为轴向应变)E-材料的弹性模量又重力G与应力彷的关系为:(=mg = aS式中:G-重力,S-应变片截面积根据以上各式可得到:RR "由此得出应变片电阻与重物质量的关系,即:根据应变片常用的材料(如康铜)取k0=2; E=16300 kg/mm2; S = 100 ; RO =34Q ;g=M=(2x98x348)/(16M)0xl(X)/w=Q(mi 伽

4、桥路部分原理:电阻应变计把机械应变转换成厶R/R后,必须采用转换电路通常采用惠斯登电桥电路实现这种转换。图13-2所示的直流电桥,对于单臂电桥,如下图:15 /15当电桥平衡时,相对的两臂电阻乘积相等,即:R1gR4=R2gR3°一(1+幽 %+孔 耐)(1 +耳健)设桥臂比n二R2/R1由于R1«R1分母中R1/R1可忽略,于是:电桥电压灵敏度定义为:从上式分析发现: 桥电压灵敏度正比于电桥供电电压。电桥电压灵敏度是桥臂电阻比值 n的函数,必须恰当的选择n的值, 保证电桥具有较高的灵敏度 由:dn求Sv的最大值,由此得眠=1_才=0dn (1十勿4求得n= 1时,Sv最大

5、。也就是供电电压确定后,当R仁R2,R3=R4寸,电桥得电压灵敏度最高,可得:由于上面的分析中忽略了R/R,所以存在非线性误差,解决的办法有:提高桥臂比:提高了桥臂比,非线性误差可以减小,但从电压灵敏 度考虑,灵敏度将降低,这是一种矛盾。采用差动电桥:根据被测试件的受力情况,若使一个应变片受拉,另一个受压,则应变符号相反。则电桥输出电压 U0为:& -唱 R3 + R 斗丿若厶 R1= R2,R仁R2,R3二R4则有:由此可知,Uo和R1/R1成线性关系,差动电桥无非线性误差。而起 电压灵敏度为,比使用一只应变片提高了一倍,同时可以起到温度补 偿的作用。若将电桥四臂接入四个应变片,即两

6、个受拉,两个受压, 将两个应变符号相同的接入相对臂上, 则构成全桥差动电路,若满足 R1匕R2也R3也R4,则输出电压为:由此可知,差动桥路的输出电压 Uo和电压灵敏度比用单片时提高了四倍,比半桥差动电路提高了一倍。因为采用的是金属应变片测量,所以本设计采用全桥电路,能够有比较好的灵敏度并且不存在非线性误差2、放大电路:该放大电路具有很强的共模抑制比。 它由两级放大器组成,第一级由 集成运放A1、A2,由于他们采用同一型号的运放,所以可进一步降 低漂移。电阻R1、R2和R3组成同相输入式并联差分放大器,具有非 常高的输入阻抗。第二级式由 A3和4个电阻R4 R5 R6和R7组成 的反向比例放大

7、器,它将双端输入变成单端输出。阻值R仁R3,R4=R5,R6=R7根据运算电路基本分析方法,可得到输出电压:%=-菩 1+2”(I)3、ADC0809管脚14IN*INJINIINIIN5I NONfiA(N7BSTC!ALHD7orD6CLKD5VI X IMVRi! 1 +DOCiNO VRFF-1711)2ADC080啲引脚功能:1)、INT0-INT7 :八路模拟输入通道2)、D7-D0: 8位三态数据输出线。3)、A/B/C:通道选择输入线,其中c为高位,A为低位4)、ALE通道锁存控制信号输入线5)、START启动转换控制信号输入线。6)、CLK:转换脉冲输入线7)、VCC 主电源

8、 +5V。8)、GND数字地4、报警电路p Id1*1 J LIF 11POlFlPgP 13PgH4risI*0SPISpgF 17MTlPMINTOP21T1I>351HJFMQ"XIARESETEJ-3> TW>R2iAx,wyi>WE. circFSKM530XS1 口 JeoLbieE竽 r-ifu 3® jr?iBdF x>2絆T吗;< 3F>5 去言口占137O-l-TD-1UTi报警电路采用声光报警,同时通过按钮来换 5t和10t档。21、22脚分别接扬声器和发光二极管,扬声器由三极管放大驱动;二极 管经一电阻接到电

9、源,从而构成报警电路。5、总体电路设计:选用传感器型号:电阻应变片传感器结构GYJ型钢筋应变计产品名 GYJ型钢筋应变计称:仪器型号:生产厂 北京斯创尔建筑测试技术开发有限公司家:工作原理:产品用 钢筋应力计主要用于测量钢筋混凝土结构中的钢筋应 途:力也可以串起来用于测量载重质量技术参数规格:1036毫米应力:28003000公斤/厘米分辨力:0. 81. 2公斤/厘米非线性:士 2%满量程温度对电桥零v 0 1%满量程/C点影响:供桥电压:0 0.5伏特输入/输出阻120欧姆抗:工作温度范围:-5 C +50C传感器长度:0. 50. 7米四、软件框图1,报警框图:Flag为标志位。五、设计

10、总结:该系统硬件主要包括以下几个模块:8051单片机主控模块、ADC0809模块、放大器模块、传感器模块、报警模块。其中8051 主要完成外围控件的控制以及一些运算功能。 传感器完成信号的 采样功能,放大器模块起到放大传感器输出电压,用来驱动 ADC0809 的功能。 ADC0809 主要负责把模拟量转换为数字量。 报警电路主要负责声光报警。在此次课程设计的过程当中, 遇到不少困难, 比如对于传感 器的选择,要考虑到经济,灵敏度,量程,精确度等情况。由于 长时间不用单片机, 导致对于单片机与 0809 的引脚的连接问题 搞不清楚,于是查阅了大量资料。同时,由于 ADC0809 输入电 压为 0-5V ,所以在通过放大器放大后不能超过这个范围。通过此次课程设计,我得到了很多收获,在设计过程当中, 组员之间相互配合,遇到问题共同解决,相互合作,相互学习, 共同进步, 使我们对于学习理论知识有了进一步的巩固。 通过广 泛地查阅资料,结合各种资料总结,把传感器、放大器、数模转 换器、单片机、报警器等各部分知识串联起来, 拓展了大量知识, 同时对于原理图的画法, 得到了更熟练的掌握。 使我深刻体会到 了学习与实践相互结合的重要性, 期待以后还会有更多的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论