计算机组成原理实验报告2_第1页
计算机组成原理实验报告2_第2页
计算机组成原理实验报告2_第3页
计算机组成原理实验报告2_第4页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、-WORD格式-可编辑 -计算机组成原理实验报告班级姓名学号实验题目 :实验目的 :算术逻辑运算单元的软件实现1掌握简单运算器的数据传输通路。2利用 VHDL 语言实现74LS181的算术运算及逻辑运算功能。 3掌握Quartus II的编译及仿真过程。实验步骤 :1. 建立工程文件新建文件夹,使用英文名,运行quartus,新建 new vhdl File,编写程序。程序编写好后存盘,并按提示建立项目文件。编译: processing Start compliation2. 软件仿真新建 new vector waveform file,出现的画面左侧空白双击,进入insertnode or

2、 bus 点击 node finder pins : alllist选择 A0-A、 B0-B、 S0-S3 、M、Cn、 Co 、F-F7,将输入输出770引脚进行排列。设定各个输入端脚值。(选择管脚点击左侧带问号设定值A 端 55 B端 AA 、 Cn 端 0、M为 0、S0S3 为 0001 、A、B、F 端均为 16 进制数)。设定仿真时间 edit end time 。保存为 .vwf 文件。模拟 processing Start simulation。1. 用 VHDL 语言编程实现 ALU181 的 16 种算术运算及逻辑运算。LIBRARY IEEE;USE IEEE.STD_

3、LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ALU181 ISPORT (S: INSTD_LOGIC_VECTOR(3 DOWNTO 0 );A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);-WORD格式 - 可编辑 -计算机组成原理实验报告班级姓名学号F : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);M : IN STD_LOGIC;CN : IN STD_LOGIC;CO : OUT STD_LOGIC);

4、END ALU181;ARCHITECTURE behav OF ALU181 ISSIGNAL A9 : STD_LOGIC_VECTOR(8 DOWNTO 0);SIGNAL B9 : STD_LOGIC_VECTOR(8 DOWNTO 0);SIGNAL F9 : STD_LOGIC_VECTOR(8 DOWNTO 0);BEGINA9=0&A; B9IF M=0 THEN F9=A9 + CN; ELSEF9IF M=0 THEN F9=(A9 or B9) + CN; ELSEF9IF M=0 THEN F9=(A9 or (NOT B9)+ CN; ELSEF9IF M=0 THE

5、N F9= 000000000 - CN; ELSEF9IF M=0 THEN F9=A9+(A9 AND NOT B9)+ CN; ELSEF9IF M=0 THEN F9=(A9 or B9)+(A9 AND NOT B9)+CN; ELSEF9IF M=0 THEN F9=(A9 - B9) - CN; ELSEF9IF M=0 THEN F9=(A9 or (NOT B9) - CN; ELSEF9IF M=0 THEN F9=A9 + (A9 AND B9)+CN; ELSEF9IF M=0 THEN F9=A9 + B9 + CN; ELSEF9IF M=0 THEN F9=(A9

6、 or(NOT B9)+(A9 AND B9)+CN ; ELSEF9IF M=0 THEN F9=(A9 AND B9)- CN; ELSEF9IF M=0 THEN F9=(A9 + A9) + CN; ELSEF9IF M=0 THEN F9=(A9 or B9) + A9 + CN; ELSEF9IF M=0 THEN F9=(A9 or (NOT B9) +A9) + CN; ELSEF9IF M=0 THEN F9=A9 - CN; ELSEF9 F9= 000000000 ;END CASE;END PROCESS;F= F9(7 DOWNTO 0) ;CO = F9(8) ;E

7、ND behav;-WORD格式 - 可编辑 -计算机组成原理实验报告班级姓名学号2. 根据实验完成下表:算术运算M=0逻辑运算( M=1 )3? S0707? B0SA .ABcn=1cn=0cn=1cn=0F7? F0COF7? F0COF7? F0COF7? F0CO0000AA55A70AA05515510001AA55001FF00010010010AA55A71AA55FF10000000000100FF01FE1FD1FE1FE10101FF01FE1FD1FE1FE10110FF01FB0FE0FE0FE00111FF01FE1FF1FE0FE01000FFFFFF1FE10000001001FFFFFF1FE1FF1FF11010FFFFFF0FF0FF0FF01011FFFFFE0FF0FF0FF011005501AB0AA001001

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论