电子技术基础(康华光版)PPT.01_第1页
电子技术基础(康华光版)PPT.01_第2页
电子技术基础(康华光版)PPT.01_第3页
电子技术基础(康华光版)PPT.01_第4页
电子技术基础(康华光版)PPT.01_第5页
已阅读5页,还剩86页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、电电 子子 技技 术术 基基 础础数数 字字 部部 分分湖南大学 物理与微电子科学学院2015年3月教材教材参考书参考书课程中心网站有关信息课程中心网站有关信息课程名称:数字电子技术课程名称:数字电子技术A课程代码:课程代码:EI04005注册码:注册码:1 1 数数 字字 逻逻 辑辑 概概 论论教教 学学 要要 求求1 1、了解数字电路的特点及学习方法;、了解数字电路的特点及学习方法;2 2、掌握数制及相互间的转换方法;、掌握数制及相互间的转换方法;3 3、掌握常用的二进制编码规律;、掌握常用的二进制编码规律;4 4、熟悉基本的逻辑运算关系。、熟悉基本的逻辑运算关系。1.1.数字逻辑概论数字

2、逻辑概论1.1 数字电路与数字信号数字电路与数字信号1.2 数制数制1.3 二进制数的算术运算二进制数的算术运算1.4 二进制代码二进制代码1.5 二值逻辑变量与基本逻辑运算二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法逻辑函数及其表示方法1.1.1 数字技术的发展及其应用数字技术的发展及其应用1.1.2 数字集成电路的分类及特点数字集成电路的分类及特点1.1.3 模拟信号与数字信号模拟信号与数字信号1.1.4 数字信号的描述方法数字信号的描述方法1.1 数字电路与数字信号数字电路与数字信号1.1.1数字技术的发展及其应用数字技术的发展及其应用1.1数字电路与数字信号数字电路与数字信号

3、80年代后年代后- ULSI , 1 0 亿个晶体管亿个晶体管/片片 、 ASIC 制作制作 技术成熟技术成熟6070代代- IC技术迅速发展:技术迅速发展:SSI、MSI、LSI 、 VLSI (10万个晶体管万个晶体管/片片)。目前目前- 芯片内部的布线细微到亚微米、深亚微米芯片内部的布线细微到亚微米、深亚微米 国际高端国际高端IC主流技术是主流技术是0.130.25 m,领先领先 技术是技术是0.045(0.032)0.13 m 微处理器的时钟频率高达微处理器的时钟频率高达3.8GHz(109Hz) 90年代后年代后- 一片集成电路上达到一片集成电路上达到40亿个晶体管。亿个晶体管。将来

4、将来- 高分子材料或生物材料制成密度更高、三维高分子材料或生物材料制成密度更高、三维 结构的电路结构的电路发展特点发展特点: :以电子器件的发展为基础以电子器件的发展为基础电子管时代电子管时代1906年,福雷斯特等发明了电子管;电子管年,福雷斯特等发明了电子管;电子管体积大、重量重、耗电大、寿命短。目前在体积大、重量重、耗电大、寿命短。目前在一些大功率发射装置中使用。一些大功率发射装置中使用。电压控制器件电压控制器件电真空技术电真空技术晶体管时代晶体管时代电流控制器件电流控制器件 半导体技术半导体技术半导体二极管、三极管半导体二极管、三极管器件器件1947年以后年以后半导体集成电路半导体集成电

5、路电路设计方法伴随器件变化从传统走向现代电路设计方法伴随器件变化从传统走向现代a)a)传统的设计方法:传统的设计方法:b)b)现代的设计方法:现代的设计方法:采用自下而上的设计方法;由人工组装采用自下而上的设计方法;由人工组装, ,经反复调试、验证、经反复调试、验证、修改完成。所用的元器件较多,电路可靠性差修改完成。所用的元器件较多,电路可靠性差, ,设计周期长设计周期长。现代现代EDA技术实现硬件设计软件化。采用从上到下设计方法,技术实现硬件设计软件化。采用从上到下设计方法,电路设计、电路设计、 分析、仿真分析、仿真 、修订、修订 全通过计算机完成。全通过计算机完成。EDA技术以计算机为基本

6、工具、借助于软件设计平台,自动完技术以计算机为基本工具、借助于软件设计平台,自动完成数字系统的仿真、逻辑综合、布局布线等工作。最后下载到成数字系统的仿真、逻辑综合、布局布线等工作。最后下载到芯片,实现系统功能。使硬件设计软件化。芯片,实现系统功能。使硬件设计软件化。1、设计:、设计:在计算机上利用软件平台进行设计在计算机上利用软件平台进行设计原理图设计原理图设计HDL语言设计语言设计状态机设计状态机设计设计方法设计方法EDA(Electronics Design Automation)技术技术3 3、下载、下载2 2、仿真、仿真4 4、验证结果、验证结果实验板实验板下载线下载线数字技术数字技术

7、根据电路的结构特点及其对输入信号的响应规则的不同根据电路的结构特点及其对输入信号的响应规则的不同 -数字电路可分为组合逻辑电路和时序逻辑电路。数字电路可分为组合逻辑电路和时序逻辑电路。 从电路的形式不同从电路的形式不同 -数字电路可分为集成电路和分立电路数字电路可分为集成电路和分立电路从器件不同从器件不同 -数字电路可分为数字电路可分为TTL 和和 CMOS电路电路1.1.2 数字集成电路的分类及特点数字集成电路的分类及特点从集成度不同从集成度不同 -数字集成电路可分为小规模、中规模、大规模、数字集成电路可分为小规模、中规模、大规模、 超大规模、特大规模、巨大规模六类。超大规模、特大规模、巨大

8、规模六类。 可编程逻辑器件、多功能专用集成电路105以上特大规模大型存储器、微处理器10,00099,999超大规模小型存储器、门阵列1009999大规模计数器、加法器1299中规模逻辑门、触发器最多12个小规模典型集成电路门的个数分类集成度集成度: :每一芯片所包含的门个数每一芯片所包含的门个数2、数字集成电路的特点、数字集成电路的特点 1)1)电路简单电路简单, ,便于大规模集成便于大规模集成, ,批量生产批量生产 2)2)可靠性、稳定性和精度高可靠性、稳定性和精度高, ,抗干扰能力强抗干扰能力强3)3)体积小体积小, ,通用性好通用性好, ,成本低成本低 4)4)具有可编程性具有可编程性

9、, ,可实现硬件设计软件化可实现硬件设计软件化5)5)高速度高速度 低功耗低功耗 6) 6)加密性好加密性好 3、数字电路的分析、设计与测试数字电路的分析、设计与测试(1) 数字电路的分析方法数字电路的分析方法数字电路的分析数字电路的分析: 根据电路确定电路输出与输入之间的逻辑关系。根据电路确定电路输出与输入之间的逻辑关系。分析工具:逻辑代数。分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图、逻辑图。式和波形图、逻辑图。(2) (2) 数字电路的设计方法数字电路的设计方法数字电路的设计数字电路的设计: 从给定的逻辑功能要求出发

10、,选择适当的逻辑器件,从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路设计出符合要求的逻辑电路。 设计方式设计方式: : 分为传统的设计方式和基于分为传统的设计方式和基于EDA软件的设计方式。软件的设计方式。 -时间和数值均连续变化的电信号,如正弦波、三角波等时间和数值均连续变化的电信号,如正弦波、三角波等 u uOt Otu u1.1.3 模拟信号与数字信号模拟信号与数字信号数字信号波形数字信号波形2 2、数字信号、数字信号 -在时间上和数值上均是离散的信号。在时间上和数值上均是离散的信号。3 3、模拟信号的数字表示、模拟信号的数字表示由于数字信号便于存储、分析和传输,

11、通常都将模拟信号转换由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信号为数字信号. . 00模拟信号模拟信号 模数转换器模数转换器 3 3 V V数字输出数字输出 000011 模数转换的实现模数转换的实现电压电压(V)(V)二值逻辑二值逻辑电电 平平+51H( (高电平高电平) )00L( (低电平低电平) )逻辑电平与电压值的关系(正逻辑)逻辑电平与电压值的关系(正逻辑)1.1.4 数字信号的描述方法数字信号的描述方法1 1、二值数字逻辑和逻辑电平、二值数字逻辑和逻辑电平 在电路中用低、高电平表示在电路中用低、高电平表示0 0、1 1两种逻辑状态两种逻辑状态 0 0、1 1数

12、码数码-表示方式表示方式二值数字逻辑二值数字逻辑 -(a) (a) 用逻辑电平描述的数字波形用逻辑电平描述的数字波形(b) 16(b) 16位数据的图形表示位数据的图形表示2 2、数字波形、数字波形数字波形数字波形-是信号逻辑电平对时间的图形表示是信号逻辑电平对时间的图形表示. .高电平高电平低电平低电平有脉冲有脉冲* *非归零型非归零型* *归零型归零型 比特率(比特率(bps) - - 每秒钟转输数据的位数每秒钟转输数据的位数无脉冲无脉冲(1)(1)数字波形的两种类型数字波形的两种类型: :(2)(2)周期性和非周期性周期性和非周期性 非周期性数字波形非周期性数字波形周期性数字波形周期性数

13、字波形 例例1.1.1 某通信系统每秒钟传输某通信系统每秒钟传输15440001544000位位(1.544(1.544兆位兆位) )数数据,求每位数据的时间。据,求每位数据的时间。ns648s1067647s105441916 .解:按题意,每位数据的时间为解:按题意,每位数据的时间为例例1.1.2 设周期性数字波形的高电平持续设周期性数字波形的高电平持续6ms,低电平持续,低电平持续10ms,求占空比求占空比q。%.%q537100ms16ms6 解:因数字波形的脉冲宽度解:因数字波形的脉冲宽度tw=6ms,周期,周期T=6ms+10ms=16ms。非理想脉冲波形非理想脉冲波形(3)(3)

14、实际脉冲波形及主要参数实际脉冲波形及主要参数几个主要参数几个主要参数: :占空比占空比 Q - - 表示脉冲宽度占整个周期的百分比表示脉冲宽度占整个周期的百分比上升时间上升时间t tr r 和下降时间和下降时间t tf f -从脉冲幅值的从脉冲幅值的10%到到90% 上升上升 下降所经历的时间下降所经历的时间( ( 典型值典型值ns ) )脉冲宽度脉冲宽度 (tw )- 脉冲幅值的脉冲幅值的50%50%所跨越的时间所跨越的时间周期周期 (T) - - 表示两个相邻脉冲之间的时间间隔表示两个相邻脉冲之间的时间间隔 tr脉冲宽度脉冲宽度 tw 0.5V 4.5V 2.5V 幅值幅值=5.0V 0.

15、0V 5.0V tf0.5V 2.5V 4.5V (4)(4)时序图时序图-表明各个数字信号时序关系的多重波形图。表明各个数字信号时序关系的多重波形图。 由于各信号的路径不同,这些信号之间不可能严格保持同步关系。由于各信号的路径不同,这些信号之间不可能严格保持同步关系。为了保证可靠工作,各信号之间通常允许一定的时差,但这些时差为了保证可靠工作,各信号之间通常允许一定的时差,但这些时差必须限定在规定范围内,各个信号的时序关系用时序图表达。必须限定在规定范围内,各个信号的时序关系用时序图表达。1.2.1 十进制十进制1.2数制数制1.2.2 二进制二进制1.2.3 二二-十进制之间的转换十进制之间

16、的转换1.2.4 十六进制和八进制十六进制和八进制i ii ii i1010 K KD)N(一般表达式一般表达式:1.2.1 十进制十进制十进制采用十进制采用0, 1, 2, 3, 4, 5, 6, 7, 8, 9十个数码,其进位的规则是十个数码,其进位的规则是“逢十进一逢十进一”。4587.29=4 103+5 102+8 101+7 100+2 10 1+9 10 2系数系数位权位权任意进制数的一般表达式为任意进制数的一般表达式为:iiirrK(N) 各位的权都是各位的权都是10的幂。的幂。1.2数制数制数制数制:多位数码中的每一位数的构成及低位向高位进位的规则多位数码中的每一位数的构成及

17、低位向高位进位的规则1.2.2 二进制二进制iiiBK)N(2 例如:例如:1+1= 10 = 121+ 020位权位权系数系数二进制数只有二进制数只有0、1两个两个数码,数码,进位规律是:进位规律是:“逢二进一逢二进一” .1 1、二进制数的表示方法、二进制数的表示方法各位的权都是各位的权都是2的幂。的幂。(1)易于电路表达)易于电路表达-0、1两个值,可以用管子的导两个值,可以用管子的导 通或截止,通或截止,灯泡的亮或灭、继电器触点的闭合或断开来表示。灯泡的亮或灭、继电器触点的闭合或断开来表示。(2)二进制数字装置所用元件少)二进制数字装置所用元件少,电路简单、可靠电路简单、可靠 。(3)

18、基本运算规则简单)基本运算规则简单, 运算操作方便。运算操作方便。 Rc VCC VCC vCE iC Rc vo vI Rb VCC iD/mAO v DS / VVGS1 VGS2 VGS3 VGS4 饱和区饱和区 可变电阻区可变电阻区 截止区截止区 vO Rd VDD vI 1 0 23 22 21 20 M SB LSB 1 0 1 0 1 0 1 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 3 2 1 0 7

19、6 5 4 10 11 8 14 9 15 12 13 十十 进进 制制 计算机 A 计算机 B 1 0 1 0 1 1 0 0 串行数据传输 1 0 1 0 1 1 0 0 计算机 A 计算机 B 0 1 2 3 4 5 6 7 1 0 1 0 MSB LSB 0 0 1 1 0 1 1 0 CP 串行数据 将一组二进制数据所有位同时传送。将一组二进制数据所有位同时传送。 传送速率快传送速率快,但数据线较多,而且发送和接收设备较复杂。但数据线较多,而且发送和接收设备较复杂。1)、十进制数转换成二进制数: a. a. 整数的转换整数的转换: : “辗转相除辗转相除”法法: :将十进制数连续不断

20、地除以将十进制数连续不断地除以2 , 2 , 直至商直至商为零,所得余数由低位到高位排列,即为所求二进制数为零,所得余数由低位到高位排列,即为所求二进制数整数部分整数部分小数部分小数部分1.2.3 二二- -十进制之间的转换十进制之间的转换解:根据上述原理,可将解:根据上述原理,可将(37)D按如下的步骤转换为二进制数按如下的步骤转换为二进制数 余余 1 余余 0 余余 1 37 b0 b1 b2 b3 b4 余余 0 余余 0 2 2 18 2 9 2 4 2 2 b5 余余 1 2 0 1 由上得由上得 (37)D=(100101)B例例1.2.2 将十进制数将十进制数(37)D转换为二进

21、制数。转换为二进制数。当十进制数较大时,有什么方法使转换过程简化当十进制数较大时,有什么方法使转换过程简化?解:由于解:由于27为为128,而,而133128=5=2220,例例1.2.3 将将(133)D转换为二进制数转换为二进制数所以对应二进制数所以对应二进制数b7=1,b2=1,b0=1,其余各,其余各系数均为系数均为0,所以得,所以得(133)D=(10000101)Bb. . 小数的转换小数的转换: :nnbbbbN 2222)(1)(n1)(n2211D1)(n2)(n1)(n1201D2222)(2 nbbbbN对于二进制的小数部分可写成对于二进制的小数部分可写成将上式两边分别乘

22、以将上式两边分别乘以2,得得1 b由此可见,将十进制小数由此可见,将十进制小数乘以乘以2,所得乘积的整数即为所得乘积的整数即为不难推知,将十进制小数每次除去上次所得积中的整数再乘以不难推知,将十进制小数每次除去上次所得积中的整数再乘以2 2,直到满足误差要求进行直到满足误差要求进行“四舍五入四舍五入”为止,就可完成由十进制小数为止,就可完成由十进制小数转换成二进制小数。转换成二进制小数。解解:由于精度要求达到由于精度要求达到0.1%,需要精确到二进制小数第,需要精确到二进制小数第10位,即位,即1/210=1/1024。0.392 = 0.78 b-1= 00.782 = 1.56 b-2=

23、10.562 = 1.12 b-3= 10.122 = 0.24 b-4= 00.242 = 0.48 b-5= 00.482 = 0.96 b-6 = 00.962 = 1.92 b-7 = 10.922 = 1.84 b-8 = 10.842 = 1.68 b-9 = 10.682 = 1.36 b-10= 1所以所以 BD. 01100011110390 %1 . 0。例例 将十进制小数将十进制小数(0.39)D转换成二进制数转换成二进制数,要求精度达到要求精度达到十六进制数中只有十六进制数中只有0, 1, 2, 3, 4, 5, 6, 7, 8, 9 , A、B、C、D、E、F十六个数

24、码,进位规律是十六个数码,进位规律是“逢十六进一逢十六进一”。各位的权均为。各位的权均为16的幂。的幂。 1nmiiiH16a)N(101H16121661610(A6.C) 1.2.4 十六进制和八进制十六进制和八进制各位的权都是各位的权都是16的幂。的幂。 因为因为16进制的基数进制的基数16=24 ,所以,可将四位二进制数表示一,所以,可将四位二进制数表示一位位16进制数,即进制数,即 00001111 表示表示 0-F。例例 (111100010101110)B =将每位将每位16进制数展开成四位二进制数,排列顺序不变即可。进制数展开成四位二进制数,排列顺序不变即可。例例 (BEEF)

25、H =(78AE)H (1011 1110 1110 1111)B例例 (111100010101110)B =3.八进制八进制 八进制数中只有八进制数中只有0, 1, 2, 3, 4, 5, 6, 7八个数码,进位规律是八个数码,进位规律是“逢逢八进一八进一”。各位的权都是。各位的权都是8的幂。的幂。18)(nmiiioaN一般表达式一般表达式八进制就是以八进制就是以8为基数的计数体制。为基数的计数体制。将每位八进制数展开成三位二进制数,排列顺序不变即可。将每位八进制数展开成三位二进制数,排列顺序不变即可。转换时,由小数点开始,整数部分自右向左,小数部分自左转换时,由小数点开始,整数部分自右

26、向左,小数部分自左向右,三位一组,不够三位的添零补齐,则每三位二进制数向右,三位一组,不够三位的添零补齐,则每三位二进制数表示一位八进制数。表示一位八进制数。因为八进制的基数因为八进制的基数8=23 ,所以,可将三位二进制数表示一位,所以,可将三位二进制数表示一位八进制数,即八进制数,即 000111 表示表示 07例例 (10110.011)B =例例 (752.1)O=(26.3)O (111 101 010.001)B5.十六进制的十六进制的 1)与二进制之间的转换容易;)与二进制之间的转换容易; 2)计数容量较其它进制都大。假如同样采用四位数码,)计数容量较其它进制都大。假如同样采用四

27、位数码,二进制最多可计至二进制最多可计至( 1111)B =( 15)D;八进制可计至八进制可计至 (7777)O = (4095)D;十进制可计至十进制可计至 (9999)D;十六进制可计至十六进制可计至 (FFFF)H = (65535)D,其容量为,其容量为64K,最大。,最大。 3)书写简洁。)书写简洁。1.3二进制的算术运算(自己复习)二进制的算术运算(自己复习)1.3.1无符号二进制数的算术运算无符号二进制数的算术运算1.3.2有符号二进制数的算术运算有符号二进制数的算术运算1.3二进制的算术运算(自己复习)二进制的算术运算(自己复习)1、二进制加法、二进制加法无符号二进制的加法规

28、则:无符号二进制的加法规则: 0+0=0,0+1=1,1+1= 10。例例1.3.1 计算两个二进制数计算两个二进制数1010和和0101的和。的和。解:解:1111 1010 0101 1.3.1无符号数算术运算无符号数算术运算无符号二进制数的减法规则:无符号二进制数的减法规则:0-0=0, 1-1=0,1-0=1 0-1= 112二进制减法二进制减法例例1.3.2 计算两个二进制数计算两个二进制数1010和和0101的差。的差。解:解:1010 1010 0101 3、乘法和除法、乘法和除法例例1.3.3 计算两个二进制数计算两个二进制数1010和和0101的积。的积。解:解: 01001

29、1 0000010100000101 1 0 1 0 0101 例例1.3.4 计算两个二进制数计算两个二进制数1010和和111之商。之商。解解:余数11 111 0101 111 0011 111 1101.0101111 1.3.2带符号二进制的减法运算带符号二进制的减法运算二进制数的最高位表示符号位,且用二进制数的最高位表示符号位,且用0 0表示正数,用表示正数,用1 1表示负表示负数。其余部分数。其余部分表示表示数值位。数值位。-原码原码有符号的二进制数表示有符号的二进制数表示 : :1. 1. 二进制数的补码表示二进制数的补码表示补码或反码的最高位为符号位,正数为补码或反码的最高位

30、为符号位,正数为0 0,负数为,负数为1 1。当二进制数为正数时,其补码、反码与原码相同。当二进制数为正数时,其补码、反码与原码相同。当二进制数为负数时,将原码的数值位逐位求反,然后在最低当二进制数为负数时,将原码的数值位逐位求反,然后在最低位加位加1 1得到补码。得到补码。(+11)D =(0 1011) B( 11)D =(1 1011) B例例: : 八位二进制补码的范围八位二进制补码的范围:-128:-128127;127; 八位二进制反码的范围八位二进制反码的范围:-127:-127127, 127, 0;0;:;:;: 八位二进制原码的范围八位二进制原码的范围:-127:-1271

31、27, 127, 0 0;:;:;:减法运算的原理减法运算的原理: :减去一个正数相当于加上一个负数减去一个正数相当于加上一个负数A B=A+( B),对,对( B)求补码,然后进行加法运算。求补码,然后进行加法运算。2. 2. 二进制补码的减法运算二进制补码的减法运算例例1.3.7 试用试用4 4位二进制补码计算位二进制补码计算5 5 2 2。 1100101111010 自动丢弃自动丢弃解:因为解:因为(5 2)补补=(5)补补+( 2) 补补=0101+1110=0011所以所以 5 2=3001111101010 例例1.3.8 试用试用4位二进制补码计算位二进制补码计算5+7。3.

32、溢出溢出解决溢出的办法解决溢出的办法:进行位扩展进行位扩展.解:因为解:因为(5+7)补补=(5)补补+(7) 补补=0101+0111=11004. 4. 溢出的判别溢出的判别如何判断是否产生溢出?如何判断是否产生溢出? 0001110111101 83)5 73)4 1 1 10011000010 96)3 11 10101011011 86)2 0001001100100在加法中,在加法中,溢出只可能发生在两个数同为正数溢出只可能发生在两个数同为正数或同为负数时,或同为负数时,当方框中的进位位与和数的符当方框中的进位位与和数的符号位(即号位(即b b3 3位)相反时,则运算结果是错误的,

33、位)相反时,则运算结果是错误的,产生溢出。产生溢出。96)1510010000 0 0101111111110000 1.4二进制代码二进制代码1.4.1 二二- -十进制码十进制码1.4.2 格雷码格雷码1.4.3 ASCII码码1.4二进制代码二进制代码二进制代码的位数二进制代码的位数(n),与需要编码的事件(或信息)的个与需要编码的事件(或信息)的个 数数(N)之间应满足以下关系:之间应满足以下关系:2n-1N2n1. 二二十进制码十进制码 (数值编码数值编码)(BCD码码- Binary Coded Decimal)用用4位二进制数来表示一位十进制数中的位二进制数来表示一位十进制数中的

34、09十个数码。十个数码。 从从4 位二进制数位二进制数16种代码中种代码中,选择选择10种来表示种来表示09个数码的个数码的方案有很多种。每种方案产生一种方案有很多种。每种方案产生一种BCD码。码。 码制码制:编制代码所要遵循的规则编制代码所要遵循的规则BCD码十进制数码8421码2421 码5421 码余3码余3循环码0000000000000001100101000100010001010001102001000100010010101113001100110011011001014010001000100011101005010110111000100011006011011001001

35、10011101701111101101010101111810001110101110111110910011111110011001010(1 1)几种常用)几种常用的的BCD代码代码1.4.1二二- -十进制码十进制码(2)各种编码的特点)各种编码的特点 余码的特点余码的特点:当两个十进制的和是当两个十进制的和是10时,相应的二进制正好时,相应的二进制正好是是16,于是可自动产生进位信号,于是可自动产生进位信号,而不需修正。而不需修正。0和和9, 1和和8,.6和和3的余码互为反码的余码互为反码,这对在求对于这对在求对于10的补码很方便。的补码很方便。 余余3码循环码:相邻的两个代码之间

36、仅一位的状态不同。按余码循环码:相邻的两个代码之间仅一位的状态不同。按余3码循环码组成计数器时,每次转换过程只有一个触发器翻转,译码循环码组成计数器时,每次转换过程只有一个触发器翻转,译码时不会发生竞争冒险现象。码时不会发生竞争冒险现象。有权码:编码与所表示的十进制数之间的转算容易有权码:编码与所表示的十进制数之间的转算容易 如如(10010000) 8421BCD=(90)对于有权对于有权BCD码,可以根据位权展开求得所代表的十进制码,可以根据位权展开求得所代表的十进制数。例如:数。例如: ( )D BCD2421 7112041211101=+= (4)求求BCD代码表示的十进制数代码表示

37、的十进制数( )D BCD8421 7112141800111=+= 对于一个多位的十进制数,需要有与十进制位数相同的几对于一个多位的十进制数,需要有与十进制位数相同的几组组BCD代码来表示。例如:代码来表示。例如: BCD2421 236810 BCD8421 536410 0010 .0011 1100 11102 .8630101 .0011 0110 01005 .463 不能省略不能省略!不能省略不能省略!(3)用用BCD代码表示十进制数代码表示十进制数二进制数转换为二进制数转换为BCD码通过十进制数过渡码通过十进制数过渡.1.4.2 格 雷 码 格雷码是一种无权码。格雷码是一种无权

38、码。二进制码b3b2b1b0格雷码G3G2G1G000000001001000110100010101100111100010011010101111001101111011110000000100110010011001110101010011001101111111101010101110011000 编码特点是:任何两个相邻代码编码特点是:任何两个相邻代码之间仅有一位不同。之间仅有一位不同。 该特点常用于模拟量的转换。当该特点常用于模拟量的转换。当模拟量发生微小变化,格雷码仅仅模拟量发生微小变化,格雷码仅仅改变一位,这与其它码同时改变改变一位,这与其它码同时改变2位或更多的情况相比,更加

39、可靠位或更多的情况相比,更加可靠,且且容易检错。容易检错。格雷码求法格雷码求法某二进制数为某二进制数为01221BBBBBnn 其对应的格雷码为其对应的格雷码为01221GGGGGnn 其中:最高位保留其中:最高位保留11nnBG其他各位其他各位iiiBBG1i=0,1,2,n-2例:二进制数例:二进制数格雷码格雷码异或运算:异或运算:相同为相同为0相异为相异为1111011 0 1 1 0101101 1 1 0 1格雷码格雷码二进制数二进制数 1.4.3 ASCII 码(字符编码) ASCII码即美国标准信息交换码。码即美国标准信息交换码。它共有它共有128个代码,可以表示大、小写英文字母

40、、十进制个代码,可以表示大、小写英文字母、十进制数、标点符号、运算符号、控制符号等,普遍用于计算机数、标点符号、运算符号、控制符号等,普遍用于计算机的键盘指令输入和数据等的键盘指令输入和数据等。例:1.5 二值逻辑变量与基本逻辑运算二值逻辑变量与基本逻辑运算* *逻辑运算逻辑运算: : 当当0和和1表示表示逻辑状态时,两个二进制数码按照某逻辑状态时,两个二进制数码按照某种特定的因果关系进行的运算。种特定的因果关系进行的运算。逻辑运算使用的数学工具是逻辑代数。逻辑运算使用的数学工具是逻辑代数。逻辑运算的描述方式逻辑运算的描述方式:逻辑代数表达式、真值表、逻辑图、卡诺逻辑代数表达式、真值表、逻辑图

41、、卡诺图、波形图和硬件描述语言(图、波形图和硬件描述语言(HDL) 等。等。* 逻辑代数与普通代数逻辑代数与普通代数:与普通代数不同与普通代数不同,逻辑代数中的变量只有逻辑代数中的变量只有0和和1两个可取值,它们分别用来表示两个完全对立的逻辑状态。两个可取值,它们分别用来表示两个完全对立的逻辑状态。在逻辑代数中,有与、或、非三种基本的逻辑运算。在逻辑代数中,有与、或、非三种基本的逻辑运算。 电路状态表电路状态表开关开关S1开关开关S2灯灯断断断断灭灭断断合合灭灭合合合合断断灭灭合合亮亮S1S2灯灯电源电源与运算与运算(1)与逻辑与逻辑:只有当决定某一事件的条件全部具备时,只有当决定某一事件的条

42、件全部具备时,这一事件才会发生。这种因果关系称为与逻辑关系。这一事件才会发生。这种因果关系称为与逻辑关系。与逻辑举例与逻辑举例 逻辑真值表逻辑真值表ABL001010110001 与逻辑举例状态表与逻辑举例状态表开关开关S S1 1开关开关S S2 2灯灯断断断断灭灭断断合合灭灭合合合合断断灭灭合合亮亮逻辑表达式逻辑表达式与逻辑:与逻辑:L = A = AB 与逻辑符号与逻辑符号ABL& &ABL与运算与运算 电路状态表电路状态表开关开关S1开关开关S2灯灯断断断断灭灭断断合合亮亮合合合合断断亮亮合合亮亮、或运算、或运算只要在决定某一事件的各种条件中,有一个或几个条件具只要在决定某一事件的各种

43、条件中,有一个或几个条件具备时,这一事件就会发生。这种因果关系称为或逻辑关系。备时,这一事件就会发生。这种因果关系称为或逻辑关系。S1灯灯电源电源S2 或逻辑举例或逻辑举例 逻辑真值表逻辑真值表ABL001010110111 或逻辑举例状态表或逻辑举例状态表开关开关S S1 1开关开关S S2 2灯灯断断断断灭灭断断合合灭灭合合合合断断灭灭合合亮亮逻辑表达式逻辑表达式或逻辑:或逻辑:L = A + 或逻辑符号或逻辑符号A AB BL LB BL L11A A、或运算、或运算非逻辑举例状态表非逻辑举例状态表A灯灯不通电不通电亮亮通电通电灭灭3.非运算非运算事件发生的条件具备时,事件不会发生;事件

44、发生的条件事件发生的条件具备时,事件不会发生;事件发生的条件不具备时,事件发生。这种因果关系称为非逻辑关系。不具备时,事件发生。这种因果关系称为非逻辑关系。 A VNC 非逻辑举例非逻辑举例 非逻辑真值表非逻辑真值表AL0110非逻辑符号非逻辑符号逻辑表达式逻辑表达式L = A 非逻辑举例状态表非逻辑举例状态表A灯灯不通电不通电亮亮通电通电灭灭A A1 1L LA AL L3.非运算非运算 两输入变量与非两输入变量与非逻辑真值表逻辑真值表ABL001010111110ABLAB&L与非逻辑符号与非逻辑符号4. 几种常用复合逻辑运算几种常用复合逻辑运算与非逻辑表达式与非逻辑表达式L = A B1)与非运算与非运算 两输入变量或非两输入变量或非逻辑真值表逻辑真值表ABL001010111000B1AABLL或非逻辑符号或非逻辑符号2)或非运算或非运算L = A+B或非逻辑表达式或非逻辑表达式3 )异或逻辑异或逻辑若两个输入变量的值相异,输出为若两个输入变量的值相异,输出为1,否则为,否则为0。 异或逻辑真值表异或逻辑真值表ABL000101011110BAL=1ABL异或逻辑符号异或逻辑符号异或逻辑表达式异或逻辑表达式4 )4 )同或运算同或运算若两个输入变量的值相同,输出为若两个输入变量的值相同,输出为1 1,否则

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论