




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、基于VHDL控制的直流电机PWM控制器的设计 课 程 设 计 姓 名: 学 号: 学 院: 电气与信息工程学院 专 业: 设计题目: 基于VHDL控制的直流电机PWM控制器的设计 指导教师: 2014年1 月 安徽工业大学摘 要本文主要介绍利用可编程芯片及VHDL语言实现对直流电机PWM控制器的设计。该直流电机PWM控制器由速度控制器模块、计数器模块、数字比较模块、分频器模块组成,为了使该直流电机更完善,本系统还添加了正转反转模块。该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。关键词: 直流电机;脉宽调制;VHDL语言ABSTRACTThis paper de
2、scribes the use of programmable chips and VHDL language realize PWM DC motor controller design. The PWM controller consists of a DC motor speed controller modules, counter modules, digital comparator module divider modules, in order to make better the DC motor, the system also adds a True Story reve
3、rsal module. The system is no external D / A converters and analog comparators, simple structure, high control accuracy, a wide range of applications. Key words: DC motor; PWM; VHDL目录摘 要2ABSTRACT21 引言42直流电机控制电路构成及工作原理43 各模块程序的编写、顶层模块与顶层模块的仿真波形图53.1 分频器模块程序与仿真图53.2 标准计数器模块与仿真图63.3 加速器程序与仿真图73.4 比较器程序
4、与仿真图83.5 顶层模块图93.6 顶层模块仿真波形94 结束语9参考文献101 引言 随着电气化、自动化、智能化的发展,直流电机在工业中的应用越来越广泛,市场上也有各种直流电机,在传统的PWM调速系统中一般采用硬件作为脉冲发生器的方式,应用的元器件较多,同样会增加电路的复杂程度,随着电子技术和大规模可编程逻辑器件的发展. PWM采用软件的方法来实现调速过程,具有更大的灵活性,实现了硬件设计软件化.早前产生PWM信号波形是采用微机或单片机通过模拟比较器实现,比较器的一端接给定的参考电压,另一端接周期性线性增加的锯齿电压。当锯齿波电压小于参考电压时输出低电平,大于参考电压时输出高电平。改变参考
5、电压就可以改变PWM波形中的高电平的宽度。此时锯齿波电压和设置参考电压均须由微机或单片机通过D/A转换器产生,再经过外接的模拟比较器输出PWM的波形,因此外围电路比较复杂。数字PWM控制只需FPGA中的内部资源就可以实现。用数字比较器代替模拟比较器,数字比较器的一端接设定值计数器的输出,另一端接线性递增计数器输出。当线性计数器计数值小于设定值时输出低电平,大于设定值时输出高电平。与模拟控制相比,省去了外接的D/A转换器和模拟比较器,FPGA外部连线很少,电路更加简单。而且可以在系统调整脉宽细分电路位数及数字比较器的设定值,从而实现对电机转速等参数的灵活控制2直流电机控制电路构成及工作原理如图1
6、所示,直流电机控制电路主要由三部分组成:FPGA中PWM脉宽调制信号产生电路;工作/停止控制和正/反转方向控制电路;片外功率放大电路和H桥正/反转功率驱动电路。在CLK0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时I数字比较器输出低电平,当计数值大于设定值时,数字比较器输出高电平,由此产生周期性的PWM波形。分频模块和cntb模块共同控制输出频率。旋转方向控制电路控制直流电动机转向及启动/停止,该电路由两个2选1选择器组成Z/F键控制选择PWM波形从正端Z进入H桥,还是从负端F进入H桥,以控制电机的转动方向。START键通过”与”门控制PWM的输出实现对电机的工作/停
7、止控制。H桥电路由大功率晶体管组成,PWM 波形通过方向控制送到H桥,经功率放大以后驱动电机转动。图1 直流电机控制电路框图3 各模块程序的编写、顶层模块与顶层模块的仿真波形图3.1 分频器模块程序与仿真图 该系统要求输出频率为10Khz,首先通过该分频模块由公式f1=20000/(c+1)*2,其中c=31,使频率为320khz,该频率作为计数模块的输入频率。程序及仿真图3如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk:in std_log
8、ic; clock:out std_logic);end fenpin;architecture art of fenpin issignal count:integer range 0 to 31;signal clk_data:std_logic;beginprocess(clk)begin if clk'event and clk='1' thenif count=31 then count<=0; clk_data<=not clk_data; else count<=count-1;end if;end if;clock<=clk_da
9、ta;end process;end art; 图3.分频模块仿真图3.2 标准计数器模块该模块既是计数模块,计数时最大计数值为31,又与分频模块共同控制输出频率。该模块的输入频率来源于分频模块的320khz,有公式f=f1/32,从而使直流电机的输出频率等于10khz。程序与仿真图4如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cntb is port(clk0,m:in std_logic; q:out std_logic_vector(4 downto 0);end c
10、ntb;architecture behav1 of cntb issignal q1:std_logic_vector(4 downto 0);beginprocess(clk0)beginif clk0'event and clk0='1' thenif m='1' thenif q1=31 thenq1<="00000"elseq1<=q1+1;end if;end if;end if;end process;q<=q1;end behav1; 图4.计数模块仿真图3.3 加速器程序设定值计数器cnta设置PW
11、M信号的占空比。当U/D=1,输入CLK2使设定值计数器的输出值增加,PWM占空比增加,电机转速加快。当U/D=0,输入CLK2,使设定值计数器的输出值减小,PWM 占空比减小,电机转速变慢。程序及仿真图2如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity cnta isport(clk,u_d,en:in std_logic; m:out std_logic;cq:out std_logic_vector(4 downto 0);end cnta;architecture beh
12、av of cnta issignal cq1:std_logic_vector(4 downto 0);beginprocess(clk)beginif clk'event and clk='1' then if en='1'then if u_d='1'then if cq1=31 then cq1<="11111" elsecq1<=cq1+1;end if;elsif cq1=0 then cq1<="00000" else cq1<=cq1-1;end if; el
13、sif en='0' then m<='1' end if; end if; end process; cq<=cq1;end behav; 图2.加速器模块仿真图3.4 比较器当计数值小于设定值时数字比较器输出低电平,当计数值大于设定值时,数字比较器输出高电平,由此产生周期性的PWM波形。程序及仿真图6如下:library ieee;use ieee.std_logic_1164.all;entity compare1 isport(dataa,datab:in std_logic_vector(4 downto 0); m:in std_logi
14、c; agb:out std_logic);end compare1;architecture behav2 of compare1 isbeginprocess(dataa,datab)beginif m='1' thenif dataa>datab thenagb<='1'else agb<='0'end if;else agb<='0'end if ;end process;end behav2; 图6.比较模块仿真图3.5 顶层模块图3.6 顶层模块仿真波形4 结束语该控制模块在EDA的仿真符合设计要求,输出频率是10khz,其占空比可调,在0.10.9之间。在实际应用中,可根据直流电机的转速
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 安徽省蚌埠市禹会区北京师范大学蚌埠附属学校2025届高考化学四模试卷含解析
- 山东省青岛市黄岛区致远中学2025年高三第三次测评化学试卷含解析
- 家政服务技能培训
- 幼儿园中班冬至主题教育
- 幻听常见护理诊断及措施
- 急性呼吸衰竭护理查房
- 2025年金刚石触媒材料合作协议书
- 大兴机场工程项目管理
- 2025年医用激光仪器设备项目建议书
- 2025届安徽马鞍山市高考仿真卷化学试卷含解析
- JJF 1183-2025 温度变送器校准规范
- 有研赵昌泰-干法电极卤化物电解质赋能高比能全固态电池
- 人教PEP小学英语五年级下册单元测试题及答案(全册)
- 犬伤的伤口处理讲
- 储能电站消防设计审查和验要点-储能资料课件
- (一统)昆明市2025届高三“三诊一模”摸底诊断测试 政治试卷(含官方答案)
- 2025年中国邮政福州分公司招聘笔试参考题库含答案解析
- 2025年上海市安全员-C3证模拟试题及答案
- 安装木地板合同范本2025年
- 《计算机网络技术基础与实战(第二版)》课件 第5、6章 网络操作系统及基本应用;与世界相连
- 烟叶质量评价体系-洞察分析
评论
0/150
提交评论