基于eda的电梯升降设计_第1页
基于eda的电梯升降设计_第2页
基于eda的电梯升降设计_第3页
基于eda的电梯升降设计_第4页
基于eda的电梯升降设计_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 课课 程程 设设 计计 题题 目目 系系 (部部) 班班 级级 姓姓 名名 学学 号号 指指导导教教师师 年年 月月 日至日至 月月 日日 共共 周周年年 月月 日日 EDA 技技术术 课课程程设计设计任任务书务书一、一、设计题设计题目、内容及要求目、内容及要求设计题目:设计题目: 设计内容设计内容:设计要求:设计要求:二、二、设计设计原始原始资资料料三、要求的三、要求的设计设计成果(成果(课课程程设计说设计说明明书书、 、设计实设计实物、物、图纸图纸等)等)四、四、进进程安排程安排五、主要参考五、主要参考资资料料指指导导教教师师( (签签名):名):教研室主任(教研室主任(签签名):名):

2、课课程程设计设计成成绩评绩评定表定表出勤天数 出勤情况缺勤天数出勤情况及设计过程表现(20 分)课设答辩(20 分)设计成果(60 分)成绩评定总成绩(100 分)提问(答辩)问题情况综合评定 指导教师签名: 年 月 日目 录1 前言.11.1 问题的提出.11.2 设计目的.12 设计的基础依据.22.1 EDA 技术介绍.22.2 VHDL 语言介绍.32.3 MAX+PLUSII 软件介绍.33 三层电梯控制器的具体设计.31 前言1.1 问题的提出当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日趋激化。这就注定了必须合理地利用土地去解决人与土地的矛盾。而兴建高层建筑是其中的有效

3、措施之一。因此,能使人们快速、便捷地到达目的楼层的电梯便应运而生了。在一些发达国家和地区,人均电梯拥有数量一般在每万人 30 台以上,某些国家甚至达到每万人 120 台以上,随着城镇化程度的加大,电梯市场会更加繁华。中国的电梯市场增长也很乐观,目前,每年增长率为 15%18%。随着电梯普及率的升温,人们对电梯的要求也会越来越高。如何更安全、更快捷地到达目的楼层,也就成了人们对电梯最为根本的要求。而电梯系统里掌控这方面技术参数的是电梯控制系统。因此,控制系统的设计就成了在电梯设计领域里最为核心的技术。在电子技术飞速发展的今天,现代电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和

4、社会信息化程度的提高,同时也使现代电子产品性能进一步提高,加速了电子设计技术的普及进程及技术革新。电子设计在日常生活和物质产品生产都占到了举足轻重的地位,这尤其体现在其对电子产品的开发和设计上。面对如此广袤的电梯市场,所谓“科技就是第一生产力” ,处于科技前沿的电子设计技术很自然地就与电梯控制设计一拍即合,给设计师们以巨大的设计空间。因此,本设计就是希望在以开发更安全、更快捷的三层电梯控制系统为前提下,结合电子设计技术,对电梯控制进行设计。1.2 设计目的三层电梯广泛应用在大型的货运之中。其使用便捷,货运周期短,效率高,成本低,对货运事业具有相当的经济价值。在客运上,三层电梯虽然涉及楼层不高,

5、应用范围不大,但就特殊而言,可以为一些上下楼层不方便的人们提供相当的帮助,照顾了这些特殊群体的感情。因此,在某种层面上说,三层电梯的设计也具有一定的社会价值。本着“一理通,百理明”的原则,本设计希望通过在简单的三层电梯控制设计之中如何解决升、降请求信号因电梯所处状态而产生的冲突等问题发散出去,由此及彼,对高层电梯控制的开发作一个技术的铺垫,为高层电梯设计者提供一个基础。针对目前中小型电梯所能实现的功能,本控制设计拟实现以下这些功能:(1)电梯到达楼层时,能发出指示信号(数码管显示楼层号) ;(2)能指示电梯的运行状态(上行或下行) ;(3)电梯的门操作具有关门延时设置(当按下梯内关门按钮时立刻

6、响应关门操作,否则延时固定时间后响应关门操作) ;(4)正确响应各楼层的上行和下行请求(各楼层设置上行和下行选择按钮)。2 设计的基础依据现代电子设计技术的核心是 EDA 技术。基于 EDA 技术开发的实现三层电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。硬件描述语言是 EDA 技术的重要组成部分,VHDL 是作为电子设计主流硬件的描述语言。使用 VHDL 语言进行程序的设计,在 MAX+plusII 软件上对程序进行编译、仿真。在 MAX+plusII 平台上的开发具有编程软件具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。2.1 EDA 技

7、术介绍EDA 技术是 20 世纪 90 年代初从计算机辅助设计(Computer Adied Design) 、计算机辅助制造(Computer Adied Manufacture) 、计算机辅助测试(Computer Adied Testing)和计算机辅助工程(Computer Adied Engineering)的概念发展而来的。随着超大规模集成电路(Very Large Scale Integration)规模和技术复杂度的急剧增长,一块芯片内集成门已可达几十万甚至几百万门,并且还在迅速增长,电子系统的人工设计已十分困难,必需依靠电子设计自动化技术。在利用 EDA 进行集成电路设计时,

8、应采用高效率的 TOP-DOWN 设计方法,即根据系统的行为和功能要求,自上而下地依次完成相应的描述、综合、优化、仿真与验证,直到生成器件。在电路描述时主要采用硬件描述语言(HDL) 。硬件描述语言是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用 HDL 程序来描述所希望的电路系统,规定其结构性和电路的行为方式;然后利用 EDA 工具将此程序变成能控制场效应可编程门阵列(Field Programmable Gate Array)/复杂可编程逻辑器件(Complex Programmable Logic Device)内部结构并实现相应逻辑功能的

9、门级或更底层的结构网表文件和下载文件。就 FPGA/CPLD 开发来说,比较常用和流行的 HDL 主要有 ABEL-HDL 和 VHDL 等。EDA 技术的基本特征和基本工具总的来说,现代 EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用并行工程和“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等等,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错、并用VHDL、Verilog-HDL、ABEL 等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,然后再用逻

10、辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。简单来说就是依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。从另一方面看,在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个技术环节。前者代表了物理层在广度和深度上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺和设计技术与最新的计算机

11、软件技术有机的融合和升华。因此,严格地说,EDA 技术应该是这二者的结合,是这两个技术领域共同孕育的奇葩。2.2 VHDL 语言介绍VHDL 语言于 1983 年由美国国防部发起创建,由电工和电子工程师协会(the Institute of Electrical and Electronics Engineers)进一步发展并在 1987 年作为“IEEE 1076”发布。从此,VHDL 成为硬件描述语言的业界标准之一。VHDL 作为一个规范语言和建模语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL 具有与具

12、体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。2.3 MAX+plusII 软件介绍MAX+plusII 是 Altera 公司提供的 FPGA/CPLD 开发集成环境,其界面友好,使用便捷,被誉为业界最易用意学的 EDA 软件,目前已发行到 10.2 版本。它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。在 MAX+plusII 平台上进行数字系统的设计过程一般要经过四个阶段:设计输入、项目编译、项目校验和器件编程。首先根据系统的性能指标进行系统结构设计,

13、对整个系统进行功能划分和模块划分。对各子模块分别进行逻辑设计、编译、仿真与验证。当不满足要求时,重新返回设计输入阶段,修改设计输入。之后将优化结果下载到所选可擦写可编程逻辑器件(Eraserable Programmable Logic Device)芯片中,进行动态仿真。最后则是测试芯片在系统中的实际运行性能。其具体的流程图如图 1 所示。 设计要求设计输入设计编译功能检验时序检验器件编程修改设计图 1 MAX+plusII 设计流程该方法将问题的解决放在硬件组装之前,既简化了设计过程,又可以减少设备投资,缩短设计周期,增强设计者对设计过程的分析和制造的可控性。MAX+plusII 软件与其

14、设计流程的每个阶段都匹配有强大的设计软件,其软件组成如图 2 所示:MAX+plusII信息处理器和层次显示设计输入MAX+plusII文本编辑器MAX+plusII图形编辑器项目校验MAX+plusII时间分析器项目编译编译器 网络提取器数据库 建库器逻辑综合器适配器件编程MAX+plusII编程器图 2 MAX+plusII 的软件组成library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity threeflift is port(c

15、lk:in std_logic; reset:in std_logic; guanmen :in std_logic; rightlight:buffer std_logic; f1upbutton:in std_logic; f2upbutton:in std_logic; f2dnbutton:in std_logic; f3dnbutton:in std_logic; fuplight:buffer std_logic_vector(3 downto 1); fdnlight:buffer std_logic_vector(3 downto 1); stop1button,stop2bu

16、tton,stop3button:in std_logic; stoplight:buffer std_logic_vector(3 downto 1); position:buffer integer range 1 to 3; digit : out std_logic_vector(3 downto 0); doorlight:out std_logic; udsig:buffer std_logic);end threeflift;architecture a of threeflift istype lift_state is(stopon1,dooropen,doorclose,d

17、oorwait1,doorwait2,doorwait3,doorwait4,up,down,stop); signal mylift:lift_state:=stopon1; signal clearup:std_logic; signal cleardn:std_logic; signal liftclk:std_logic; signal buttonclk:std_logic; signal Q: std_logic_vector(3 downto 0);beginprocess(clk) begin if(reset=1) then q=0000; elsif(clkevent an

18、d clk=1) then q=q+1; end if; buttonclk=q(0); liftclk=q(3);end process;ctrlift:process(reset,liftclk)variable pos:integer range 3 downto 1; begin if(reset=1)then mylift=stopon1; clearup=0; cleardn=0; position doorlight=1; position=1; pos:=1; mylift mylift clearup=0; cleardn=0; if( guanmen=1)then righ

19、tlight=1; mylift=doorclose; else mylift mylift mylift rightlight=0; doorlight=0; if(udsig=0)then if(position=3)then if(stoplight=000and fuplight=000and fdnlight=000)then udsig=1; mylift=doorclose; else udsig=1; mylift=down; end if; elsif position=2 then if(stoplight=000andfuplight=000 and fdnlight=0

20、00)then udsig=0; mylift=doorclose; elsif(stoplight(3)=1 or (stoplight(3)=0 and fdnlight(3)=1) then udsig=0; mylift=up; else udsig=1; mylift=down; end if; elsif position=1 then if(stoplight=000 and fuplight=000 and fdnlight=000) then udsig=0; mylift=doorclose; else udsig=0; mylift=up; end if; end if;

21、 elsif(udsig=1)then if(position=1)then if(stoplight=000 and fuplight=000 and fdnlight=000) then udsig=0; mylift=doorclose; else udsig=0; mylift=up; end if; elsif(position=2)then if(stoplight=000 and fuplight=000 and fdnlight=000) then udsig=1; mylift=doorclose; elsif(stoplight(1)=1or(stoplight(1)=0

22、and fuplight(1)=1)then udsig=1; mylift=down; else udsig=0; mylift=up; end if; elsif(position=3)then if(stoplight=000and fuplight=000 and fdnlight=000) then udsig=1; mylift=doorclose; else udsig=1; mylift position=position+1; pos:=pos+1; if pos3 and (stoplight(pos)=1 or fdnlight(pos)=1) then mylift=stop; elsif pos=3 and (stoplight(pos)=1 or fdnlight(pos)=1) then mylift=stop; else mylift position1 and (stoplight(pos)=1 or fdnlight(pos)=1) then mylift=stop; elsif pos=1 and (stoplight(pos)=1 or fuplight(pos)=1) then

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论