电子设计大赛单元模块之声音报警电路 传感器电路_第1页
电子设计大赛单元模块之声音报警电路 传感器电路_第2页
电子设计大赛单元模块之声音报警电路 传感器电路_第3页
电子设计大赛单元模块之声音报警电路 传感器电路_第4页
电子设计大赛单元模块之声音报警电路 传感器电路_第5页
已阅读5页,还剩20页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、3.5 声音报警电路声音报警电路通常可以有两种方法实现,一是采用单片机或可编程逻辑器件完成,二是采用分立元件实现。分立元件制作的声音报警电路图所示电路中,LM555电路构成的高频多谐振荡器由启动信号启动后,使LM555的第4脚为高电平,可以产生音频信号,Q2则用作音频放大器和扬声器的驱动;实际应用时,也可以将扬声器的电容隔离后接在第3脚的电阻上。图 或非门组成的声音报警电路图所示电路中,或非门CD4001A和B构成低频振荡器,在启动信号(低电平有效)触发下,使或非门A的一个输入端为逻辑“0”,振荡器从而被激发,它产生的低频(约10Hz)方波对高频振荡器(由C、D门组成)进行门控制,以产生大约1

2、KHz的信号。调整可以改变低频信号的频率,调整可以改变音调。与单片机接口的声音报警电路与程序在MCS-51单片机的P1.0口接上一个报警电路,如图所示。图 单片机组成的声音报警输出电路单片机计数器R7控制扬声器响的次数,计数器R6控制响停时间。程序如下: WARM: MOV R7, #10; 响的次数; WAR2: MOV R6, #200; 响的音调;WAR0: ACALL DL10 CPL P1.0 DJNZ R6, WAR0 MOV R6 ,#100WAR1: ACALL DL10 DJNZ R6, WAR1DJNZ R7,WAR2RET若晶振频率为12MHz时,10ms延时子程序为:

3、DL10 : MOV R5,#120 DL12 : MOV R4, #250 DL11 : DJNZ R4, DL11 DJNZ R5, DL12 RET与可编程逻辑器件接口的声音报警电路与程序通过FPGA进行预分频产生两种声音的频率每隔0.5秒交替输出一个高电平,编辑程序模块实现声音报警功能,按下button键后产生报警信号通过alarm输出到图所示的报警电路可以得到蜂鸣报警声。VHDL程序如下:library IEEE;entity plyx_alarm is Port (clk : in std_logic; -50MHz标准时钟信号; button: in std_logic;-开,停

4、按键; alarm : out std_logic);-输出;end plyx_alarm;architecture Behavioral of plyx_alarm issignal clk_1_2Hz : std_logic;beginprocess(button,clk)variable c : integer range 0 to 16000000;begin if button='1' then c:=0;clk_1_2Hz<='0'; elsif rising_edge(clk) then c:=c+1; if c<8000000 the

5、n clk_1_2Hz<='0';elsif c=16000000 then c:=0; else clk_1_2Hz<='1';end if;end if;end process;process(button,clk)variable c : integer range 0 to 128000;begin if button='1' then c:=0;alarm<='0'; elsif rising_edge(clk) then c:=c+1; if clk_1_2Hz='1' then if

6、 c<32000 then alarm<='1'; elsif c=64000 then c:=0; else alarm<='0'; end if;elsif c<64000 then alarm<='1';elsif c=128000 then c:=0;else alarm<='0';end if;end if;end process;end Behavioral;3.6 传感器及其应用电路传感器种类介绍1. 传感器定义传感器是能感受(或响应)规定的被测物理量,并按照一定规律转换成可用信号

7、输出的器件或装置。传感器通常由直接响应于被测量的敏感元件和产生可用信号输出的转换元件以及相应的电子电路所组成。2. 传感器分类(1)按传感器的机理及转换形式分类有结构型、物性型、数字(频率)型、量子型、信息型和智能型。(2)按敏感材料分类有半导体型(如元素硅或V 族、VI 族化合物)、功能陶瓷型(如电子型半导体瓷、压电瓷)、功能高聚物型(如各种高分子有机半导体、压电体)等。(3)按测量对象参数分类有光传感器、湿度传感器、温度传感器、磁传感器、压力(压强)感器、振动传咸器、超声波传感器等。(4)按应用领域分类有机器人传感器、医用(生物)感器、环保传感器、各种过程和检测传感器等。362 霍尔传感器

8、与应用电路1. 基本原理霍尔传感器是利用半导体的磁电效应中的霍尔效应,将被测量转换成霍尔电势。 霍尔效应:将一载流体置于磁场中静止不动,若此载流体中的电流方向与磁场方向不相同时,则在此载流体中平行于由电流方向和磁场方向所组成的平面上将产生电势,此电势称为霍尔电势,此现象称为霍尔效应。霍尔电势 UH=BbI/nebd式中:B外磁场的磁感应强度;I通过基片的电流;n基片材料中的载流子浓度;e电子电荷量,e=1.602×10-9C;b基片宽度;d基片厚度。半导体材料的电阻率和迁移率均高,砷化铟和锑化铟常被大量采用作为制作霍尔元件的材料。霍尔元件通常被制作成长方形薄片。2. 集成霍尔传感器集

9、成霍尔传感器利用硅集成电路工艺将霍尔元件与测量电路集成在一起,实现了材料、元件、电路三位一体,有线性型霍尔传感器和开关型霍尔传感器。 图3.6.1 霍尔传感器基本应用电路基本应用电路,如图所示,控制电流(激励电流)由电源E供给,其大小可由调节电阻R来实现,霍尔片输出端接负载Rf,Rf可以是一般电阻,也可以是放大器的输入电阻或指示器的内阻。在磁场和控制电流的作用下,负载上就有输出电压。在实际使用中,输入信号可为电流I或磁感应强度B,或者两者同时作为输入,则输出信号可正比于I或B,或两者之积。由于建立霍尔效应所需的时间很短(约10-1210-14S之间),因此,控制电流用交流电时,频率可以很高(几

10、千兆赫)。3. 典型应用转速测量霍尔传感器是基于UH=(kH/d)IBsin式工作的,如图所示的非电量通过弹性元件产生位移便可利用霍尔传感器进行测量。图3.6.2 非电量通过霍尔传感器测量原理(1)转速测量原理图3.6.3霍尔传感器检测转速示意图应用开关型霍尔传感器检测转速的示意图如图所示。在非磁材料的圆盘边缘上粘贴一块磁钢,将圆盘固定在被测转轴上,开关型霍尔传感器固定在圆盘外缘附近,圆盘每旋转一周,霍尔传感器便输出一个脉冲,用频率计测量这些脉冲,便可知道转速。设频率计的频率为f,粘贴的磁钢数为Z,则转轴转速为n=60f/Z(r/min)若Z=60,则n=f,即转速为频率计的示值。但是,粘贴6

11、0块磁钢实在麻烦,而且若圆盘很小便装不下这么多。因此,可视情况粘贴适当的块数。例如:可粘贴6块磁钢,则转速为n=10f这样读数与计算都比较方便。 (2)测量转速电路测量转速的装置示意图如图的电阻,将其输出端接到数字式频率计的输入端,即可根据相应的情况计算出被测机械的转速。图3.6.4 测量转速的装置具体的转速测量电路如图所示。该电路采用霍尔IC UGN3040检测磁性转子的转数。UGN3040是集电极开路元件,外接上拉电阻。当磁性转子转动时,霍尔IC的输出也随之变化,B点是经过三极管反相后的输出。后续电路可用计数器记录转速。图3.6.5 转数检测电路开关型霍尔传感器还可选用UGN-3020,U

12、GN-3030型,其电源电压为4.525V,对磁感应强度B的大小要求不严格,当电源电压为12V时,其输出截止电压的幅值U012V。亦可选用国产CS837,CS6837型,其电源电压为10V;CS839,CS6839其电源电压为18V。但应注意的是,CS型开关集成霍尔传感器为双端输出,也属于集电极开路输出级。不管是单端输出还是双端输出,电源和集电极间必须接上负载电阻才能正常工作。363金属传感器与应用电路1. 集成金属传感器的分类集成金属传感器包括两种类型:电容式接近开关和电感式接近开关。(1)电感式接近开关电感式接近开关是建立在电磁场的理论基础上而工作的。由电磁场理论可知,在受到时变电磁场作用

13、的任何导体中,都会产生电涡流。成块的金属置于变化的磁场中,或者在固定的磁场中运动时,金属导体内就要产生感应电流,这种电流的磁力线在金属内是闭合的,所以称为涡流。导体影响使线圈的阻抗发生变化,这种变化称为反阻抗作用。该传感器利用受到交变磁场作用的导体中产生的电涡流,调节线圈原有阻抗。因此电感式接近开关可以作为金属探测器。几种常用的电感式接近开关的外形如图所示。*齐平安装;检测距离: 3,5,10mm*非齐平安装;检测距离: 8,12,20mm(a) 带螺纹塑料圆柱形*齐平安装;检测距离:3,5,10mm*非齐平安装;检测距离:8,12,20mm(b)镀铬黄铜圆柱外壳 *齐平安装;检测距离:1.5

14、,3,5,10mm*非齐平安装;检测距离:4,8,12,20mm(c)不锈钢圆柱外壳图 常用电感式接近开关(2)电容式接近开关电容式接近开关的感应面由两个同轴金属电极构成,很像“打开的”电容器的电极,如图图 电容器的极板位置图3.6.8 常用电容式接近开关常用的电容式接近开关的外形如图所示;外形、安装方式、接线方式、检测距离等参数与电感式接近开关基本相同。2. 自制简易金属传感器电路竞赛时也可以自制金属传感器。由电磁场理论可知,在受到时变电磁场作用的任何导体中,都会产生电涡流。电涡流式传感器的灵敏度和线性范围是与线圈产生的磁场强度和分布状况有关,磁场沿径向分布范围大,则线性范围就大,轴向磁场梯

15、度大,则灵敏度就高。它们与传感器线圈的尺寸和形状有关。根据这种关系就可以确定线圈的形状和尺寸参数。 当x小时(被测物体靠近线圈),线圈半径rb小,则产生的磁感应强度大。 当x大时(被测体远离线圈),磁感应强度小,且半径小的变化梯度大,线圈半径大的变化梯度小。对涡流传感器通常设计为截流扁平线圈,产生的磁场可以视为由相应的单匝线圈的磁场叠加而成。a. 线圈外径大时,传感器敏感范围大,线性范围相应也大,但敏感度低。b. 线圈外径小时,线性范围相应小,但敏感度增大。c. 线圈薄时,灵敏度高。d. 线圈内径改变时,只有被测体与传感器距离近时,灵敏度略有变化。e. 设计时,传感器的线性范围一般取为线圈外径

16、的1/31/5。图 金属传感器电路金属传感器电路如图所示,电路由振荡电路、比较电路和整形电路三部分组成。当有金属时,影响线圈L1的阻抗,从而影响振荡电路的输出幅值,经过比较器进行比较,比较后的输出信号经整形电路整形,可直接输入到控制电路进行检测状态的判断。364温度传感器与应用电路1. 分类温度传感器的数量在各种传感器中占据首位。其中将温度转换为电阻变化的称为热电阻和热敏电阻传感器;将温度转换成电势变化的称为热电偶传感器。2. 热电偶温度传感器(1)基本原理热电偶传感器能将温度变化量转换为热电势,理论是建立在热电效应基础上。热电效应:将两种不同材料的导体组成一个闭合回路,如果两个结点的温度不同

17、,则回路中将产生一定的电流(电势),其大小与材料性质及结点温度有关,这种物理现象即为热电效应。(2)应用电路如图所示的热电偶报警信号电路。在AD594/AD595的应用中,13脚应受一定的限制,它的电压不能超过-4V。这一点只要将13脚连接到4脚的公共端,或连到7脚的V-就容易办到。电路在正常工作时报警晶体管断开,20K的上拉电阻使12脚输出为高电平。如果热电偶的一个头或两个头断开,12脚将输出低电平,从而输出报警信号。图 热电偶报警信号电路3. 热电阻温度传感器利用热电阻和热敏电阻的温度系数制成的温度传感器,均称为热电阻式温度传感器。(1)金属热电阻工作原理由物理学可知,对于大多数金属导体的

18、电阻,都具有随温度变化的特性,其特性方程满足下式:Rt=R01+(t-t0)式中,Rt、R0分别为热电阻在t和0时的电阻;为热电阻的温度系数(1/)。对于绝大多数金属导体,值并不是一个常数,而是随温度而变化,但在一定温度范围内,可近似视为一个常数,不同的金属导体,保持常数所对应的温度范围也不同。(2)金属热电阻种类铂热电阻:测温复现性好,被广泛应用于作温度的基准,标准的传递。铜电阻:灵敏度高,但易于氧化,一般只用于150以下的低温测量和没有水及无侵蚀性的介质中的温度测量。电阻:电阻温度系数大、电阻率也大,可制成体积小、灵敏度高的电阻温度计;易于氧化、化学稳定性差、不易提纯、复制性也差,而且电阻

19、-温度特性线性差。因此,目前用的比较少。(3)热电阻传感器的测量电路热电阻传感器的测量电路最常用的是电桥电路,精度要求高的采用自动电桥,为了消除由于连接导线电阻随环境温度变化而造成的测量误差,常采用三线和四线制连接方法。在此不再详述。4. 半导体热敏温度传感器(1)半导体热敏温度传感器的分类用半导体制成的热敏元件。一般来说,半导体比金属具有更大的电阻温度系数。半导体热敏电阻可分为:正温度系数(PTC)、负温度系数(NTC)、临界温度系数(CTR)热敏电阻等几类。PTC:主要用于彩电消磁、各种电器设备的过热保护、发热源的定温控制,也可作限流元件使用。CTR:主要用作温度开关。NTC:在点温、表面

20、温度、温差、温度场等测量中得到广泛的应用,还广泛应用在自动控制及电子线路的热补偿电路中。是运用最为广泛的热敏电阻。(2)半导体热敏温度传感器的应用热敏电阻可以和普通的电阻一样使用,只是热敏电阻的阻值是随着温度的变化而变化的。可组成如图所示应用电路。图 热敏电阻温度测量电路这是一个非对称式多谐振荡器电路。R4为热敏电阻,当温度变化时,其阻值将会随着发生变化。此变化将会影响振荡电路的振荡频率。将振荡电路的输出信号,输入到控制电路(如FPGA或单片机控制系统)中,便可以通过计频率的变化而显示出对应的温度。需要注意的是,图中的U1必须是MOS反相器,否则可能会不起振。振荡电路的振荡周期为(推导过程略)

21、:T=2.2 C(R2+R3+R4)由热敏电阻组成的温度控制器,其电路如图所示。温度传感器采用在25为10K的负温度系数热敏电阻,电路由两个比较器组成。比较器A1为温控电路,比较器A2为热敏电阻损坏或接线断开指示电路,调整W1可设定控制温度,调整R5可调节电路翻转延时时间,以免继电器频繁通断。(3)二极管PN结这类温度传感器是利用晶体管半导体材料的PN结的伏安特性与温度之间的关系研制而成的一种温度传感器。根据半导体器件原理,流经晶体二极管的正向电流ID与这个PN结上的压降VD有如下关系:ID=IseqVD/ kT式中,ID为PN结的正向电流;VD为PN结的正向压降;q为电子电荷量;k为玻耳兹曼

22、常数;T为绝对温度;IS为反向饱和电流。温度与电压VD有关,这一特性就可用来测温。 图 热敏电阻温度控制器二极管的测温电路如图所示。利用二极管VD、R2、R1、R3和Rw组成一电桥电路,利用运算放大器将电桥输出电压信号放大,运算放大器也起到阻抗变换作用。 图 二极管的测温电路(4) 晶体三极管温度传感器晶体三极管温度传感器的原理根据晶体管原理,处于正向工作状态的晶体三极管,其发射极电流和发射结电压能很好的符合以下关系:Ie=Ise(eqVbe/kT-1) 式中,Ie为发射极电流;Vbe为发射结压降;Ise为发射结的反向饱和电流。室温时,KT/q=36mV左右,因此,在一般发射结正向偏置的条件下

23、,都满足Vbe>>KT /q的条件。经近似处理并取对数后得:Vbe=(KT/q)·ln(Ie/Ise) (3.6-1)由上式可知,温度T与发射结压降Vbe有对应关系,可根据此关系通过测量Vbe来测温度T值。图3.6.14 对管温度传感器由式3.6-1可知,发射结压降与反向饱和电流Ise有关,而Ise又是一个跟温度有关的常数,为了消除Ise的影响,可以用接成对管方式来解决,电路如图所示,在此条件下,Ise1=Ise2。在Ie1和Ie2比值一定的条件下,vbe与绝对温度成正比。比例系数是一个常数,与反向饱和电流无关,因此也与三极管的制造工艺条件无关。可见,晶体三极管可以作为理

24、想的测温元件。 图3.6.15 LM35封装形式及管脚图5. 集成温度传感器组成的测温电路集成温度传感器LM35灵敏度为l0mv,即温度为10时,输出电压为100mV。常温下测温精度为+/-0.5以内,消耗电流最大也只有70A,自身发热对测量精度影响也只在0.1以内。采用+4V以上单电源供电时,测量温度范围为2150;而采用双电源供电时,测量温度范围为-55150(金属壳封装)和-40110(TO92封装)。外形如图所示。(1)-20+100测温电路图3.6.16 -20+100测温电路利用LM35或LM45温度传感器及二极管1N914可以组成单电源供电的测温电路(一般需要正负电源)。输出电压

25、Vo=10mV×t(t为测量温度值),温度测量范围为-20+100。电路如图所示。 (2)温度/频率变化电路图3.6.17 温度/频率转换电路利用V/F变换器LM131芯片、集成温度传感器LM25或LM45及光电耦合器4N128组成输入输出隔离的温度/频率变换电路。其温度测量范围为25100,响应的频率输出为251000Hz。由5k电位器来调整,使100电路输出为1000Hz。利用光电耦合器作为输入输出隔离,进行电平转换。电路如图所示。 (3)AD590远程测温电路如图所示电路可以测量千米之外的温度。当温度为-55+100时,电路的输出电压以100mV/的规律变化,输出为-5.5+1

26、0V。电路中测温元件采用AD590,其温度变化的输出电流经屏蔽线,并通过屏蔽线两侧的RC环节滤除干扰,再流过1K电阻,产生1mV的电压加在放大器的输入正端。AD590直接输出的为绝对温度,为了以摄氏温度读出,需要在放大器的负端加上273.2mV电压,这一电压由LM1403经电阻分压产生。实际应用中,屏蔽线只能一端接地,若两端同时着地,将形成噪声电流串至芯线引起干扰。图3.6.18 AD590远程测温电路3.65 光电传感器与应用电路1.主要类型 光电传感器根据检测模式的不同可分为如下几种: (1)反射式光电传感器将发光器与光敏器件置于一体内,发光器发射的光被检测物反射到光敏器件。(2)透射式光

27、电传感器将发光器与光敏器件置于相对的两个位置,光束也是在两个相对的物体之间,穿过发光器与光敏器件的被检测物体回阻断光束,并启动受光器。(3)聚焦式光电传感器将发光器与光敏器件聚焦于特定距离,只有当被检测物体出现在聚焦点时,光敏器件才会接受到发光器发出的光束。2.应用举例(1)利用反射式光电传感器检测黑白物体利用反射式光电传感器检测黑白物体的电路如图所示。图 光电检测电路由于黑色物体和白色物体的反射系数不同,调节反射式光电传感器与检测对象之间的距离,使光敏三极管就只能接受到白色物体反射回来的光束。而对于黑色物体由于其反射系数小,所反射回来的光束很弱,光敏三极管无法接受到反射光。利用反射光可以使光

28、敏三极管实现导通和关断,从而实现对黑白物体的分辨。电路工作过程如下:当被测物体是黑色物体时,红外光电二极管U1发射出的光,被反射回来的很弱,光敏三极管无法导通,所以A点此时为高电平,通过反相器7414,FPGA或者微控制器接受到的信号是低电平。当被测物体是白色物体时,红外光电二极管U1发射的光,被反射回来的很强,光敏三极管导通,所以A点此时为低电平,通过反相器7414, FPGA或者微控制器接受到的信号是高电平; FPGA或者微控制器检测输入的电平,即可以判断此时被检测物体是白色物体还是黑色物体。(2)在应用光电检测电路时应注意: a.发光器的光强度发光器的光强度可以通过选择适当的型号,改变加

29、在发光器的限流电阻,或者在发光器和光敏器件的外面可以加上聚光装置。b.不同物体表面对光线的反射能力不同,应仔细调节反射式光电传感器与检测对象之间的距离。c.工作环境条件。由于无法改变工作环境,必须考虑光电传感器的安装位置。(3)在安装中各种不同类型的光电传感器有各自必须首先要注意的问题:a.反射式光电传感器的安装:首先要注意的就是要根据不同的检测材料,确定适当的距离。具体的距离和具体的位置必须在现场调试。b.聚焦式光电传感器的安装:在这种传感器的安装过程中,最主要的就是要确定聚焦点的位置,如果位置选择的不合适的话,就会使传感器失去作用。c.透射式光电传感器的安装:一定要安装好遮光片,安装时一是

30、要选择好材料,二是要特别注意其安装的位置。(4)光源检测电路光源检测电路用来判断光源的位置。具体电路如图所示。 图 光源检测电路由光敏二极管D2对光源进行检测,当光敏二极管接收到光源发出的光时,VT1和VT2导通,A点为低电平,VT3不能导通,B点为高电平,此时FPGA或者微控制器接收到的电平为高电平;当光敏三极管未接收到光源时,则VT1和VT2不导通,A点为高电平VT3导通,B点输出低电平,此时FPGA或者微控制器接收到的电平为低电平。FPGA或者微控制器检测输入端电平即可以判断此时光敏二极管是否检测到了光源。3. 集成的光电传感器(1)分类集成的光电传感器主要有反射式光电开关、会聚式光电开

31、关、透射式光电开关、反射板式光电开关、光纤穿透式开关、光纤反射式开关等几种,一般采用前三种。(2)工作光源采用的工作光源主要有可见红光(650nm)、可见绿光(510nm)和红外光(800940nm)。不同的光源在具体情况下各有其长处。例如:在不考虑被测物体颜色的情况下,红外光有较宽的敏感范围,而可见红光或绿光特别适合于反差检测,光源的颜色必须根据被测物体的颜色来选择,红色物体与红色标记宜用绿光(互补色)进行检测。(3)外形按照外壳形状可分为:螺纹圆柱形系列,圆柱形系列,方形系列,槽型贯穿形系列。比较常用的螺纹圆柱形系列外形如图所示。(4)接线图与电感式接近传感器相同。具体型号可进入有关网站查

32、询。图螺纹园柱型光电传感器 超声波传感器与应用电路 超声波传感器可以用来测量距离,探测障碍物,区分被测物体的大小。1.基本原理及其分类超声波检测装置包含有一个发射器和一个接收器。发射器向外发射一个固定频率的声波信号,当遇到障碍物时,声波返回被接收器接收。超声波探头可由压电晶片制成,超声波探头既可以发射超声波,也可以接收超声波。小功率超声探头多作探测用,有多种不同的结构。40KHz超声波探头如图所示,型号为TCT40-2F (发射器)和TCT40-2S (接收器),两者外形相同。 图 TCT40超声波探头外形及符号超声探头的构成晶片的材料可以有许多种。晶片的大小,如直径和厚度也各不相同,因此每个

33、探头的性能是不同的。超声波传感器的主要性能指标包括:(1)工作频率工作频率就是压电晶片的共振频率。当加到晶片两端的交流电压的频率和晶片的共振频率相等时,输出的能量最大,灵敏度也最高,如图所示。(2)工作温度由于压电材料的居里点一般比较高,特别是诊断用超声波探头使用功率较小,所以工作温度比较低,可以长时间地工作而不失效。(3)灵敏度灵敏度主要取决于制造晶片本身。机电耦合系数大,灵敏度高;反之,灵敏度低。图 超声波发射器的频率特性2. 超声波传感器的发射/接收电路(1) 超声波传感器的发射电路超声波发射电路包括超声波发射器、40KHz超音频振荡器、驱动(或激励)电路,有时还包括编码调制电路,设计时

34、应注意以下两点: 普通用的超声波发射器所需电流小,只有几毫安到十几毫安,但激励电压要求在4V以上。 激励交流电压的频率必须调整在发射器中心频率fo上,才能得到高发射功率和高效率。(a) (b)图 三极管组成的超声波发射电路图 图图图 LM1812组成的超声波发射电路LM1812组成的超声波发射电路如图所示。LM1812为一种专用于超声波收发的集成电路,它既可以用作发射电路,又可以用于接收放大电路,它主要决定于引脚8的接法。第1脚接L1、C1并联谐振槽路以确定振荡器频率。输出变压器接在6、13脚间,电容C2起退耦、滤波、信号旁路作用。C3应与变压器副边绕组谐振于发射载频,变压器的变比大致为N1:N2=1:2,当然超声波发射器也可接在6、13脚间,但发射功率小。(2) 超声波传感器的接收电路由LM1812组成的接收电路如图所示。引脚8接地,使芯片工作于接收模式。输出信号可以从第16脚输出或从14脚输出,注意第14脚输出是集电

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论