数字电路全部实验_第1页
数字电路全部实验_第2页
数字电路全部实验_第3页
数字电路全部实验_第4页
数字电路全部实验_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、创建时间:2010-12-6 19:04:00 数字电子技术实验报告实验一 门电路逻辑功能及测试1实验二 数据选择器与应用4实验三 触发器及其应用8实验四 计数器及其应用11 实验五 数码管显示控制电路设计.17实验六 交通信号控制电路19实验七 汽车尾灯电路设计25 班级:12电 实验一 门电路逻辑功能及测试一、实验目的:1.加深了解TTL逻辑门电路的参数意义。2.掌握各种TTL门电路的逻辑功能。3.掌握验证逻辑门电路功能的方法。4.掌握空闲输入端的处理方法。二、实验设备:THD4数字电路实验箱,数字双踪示波器,函数信号发射器,74LS00二输入端四与非门,导线若干。三、实验步骤及内容:1.

2、测试门电路逻辑功能。选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。用74LS00实现或逻辑。用74LS00实现异或逻辑。2.按实验要求画出逻辑图,记录实验结果。3.实验数据与结果将74LS00二输入端输入信号分别设为信号A、B用74LS00实现与逻辑 逻辑电路如下:A端输入TTL门信号,B端输入高电平,输出波形如下:A端输入TTL门信号,B端输入低电平,输出波形如下:1、 用74LS00实现或逻辑逻辑电路如下 A端输入TTL门信号,B端输入高电平,输出波形如下:A端输入TTL门信号,B端输入低电平,

3、输出波形如下:2、 用74LS00实现异或逻辑 逻辑电路如下:A端输入TTL门信号,B端输入高电平,输出波形如下:A端输入TTL门信号,B端输入低电平,输出波形如下:实验二 数据选择器及其应用一、实验目的1. 通过实验的方法学习数据选择器的电路结构和特点。2. 掌握数据选择器的逻辑功能及其基本应用。二、实验设备1. 数字电路实验箱2. 示波器3. 74LS153、74LS00及基本电路三、实验内容1. 某导弹发射场有正、副指挥员各一名,操作员两名。 当政副指挥员同时发出命令时,两名操纵员中有一人按下发射按钮,即可产生一个点火信号发射导弹,试用以上仪器设计组合逻辑电路,完成点火信号的控制,写出函

4、数式,列出真值表,画出实验电路。2. 设计一个一位全加器。四、实验步骤与结果1.点火信号控制电路组合逻辑电路采用正逻辑。A、B、C、D为四个输入变量(A、B为指挥员,C、D为操作员),F表示输出变量(1表示发射,0表示不发射)。真值表为:ABCDF111011101111111画出卡诺图:ABCD00011110000000010010110010100010降维卡诺图:ABC00011110000D010010 根据降维卡诺图得到如下表达式:组合逻辑电路为:2. 一位全加器组合逻辑电路中A、B、C为输入端,S1、C0为输出端,其中A为被加数,B为加数,C为前级加法器的进位,S1为和的个位,C

5、0表示是否进位。真值表为:ABCS1C00000000110010100110110010101011100111111 根据真值表,画出卡诺图:ABCI000111100010111010 SABCI000111100001010111 C0降维后 :AB00011110S1端CO端01 组合逻辑电路为:实验三 触发器及其应用 一、实验目的:熟悉基本D触发器的功能测试。了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点。熟悉触发器的实际应用。二、实验设备:数字电路实验箱函数信号发生器数字万用表74LS00、74LS74三、实验原理:触发器是一个具有记忆功能的二进制信息存储器件,

6、是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种。D触发器在时钟脉冲CP的前沿(正跳变01)发生翻转,触发器的次态取决于CP的脉冲上升沿到来之前D端的状态,即=D。因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。和分别是决定触发器初始状态的直接置0、置1端。当不需要强迫

7、置0、置1时,和端都应置高电平(如接+5V电源)。74LS74,74LS175等均为上升沿触发的边沿触发器。图一为74LS74的引脚图和逻辑图。D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等。74LS74引脚图和逻辑图四、实验内容:1一个水塔液位显示控制的示意图,虚线表示水位。传感器A B被水浸没时会有高电平输出,I是水泵控制电路逻辑函数,L是水泵的控制信号,信号为1时水泵开启,设计I的逻辑电路。要求,水位低于A时开启水泵L,水位高于B 时,关闭水泵L。2用D触发器和若干门电路,设计一个用在智力竞赛中两组抢答者的灯光显示电路。要求:先抢答者按下抢答开关发出灯光显示,同时封

8、锁后抢答者的灯光显示电路,最后由主持人清除灯光显示和封锁信号,用实验验证设计结果。五、实验方法与结果:1.根据题意列出真值表为水位下降: 水位上升: AL100110011AL011111100利用RS触发器电路:2.设计电路图如下:其中在触发器CP端接入10Kz脉冲,用示波器记录6端输出相对于CP的波形开关未按时:开关按下时:实验四 计数器及其应用一、实验目的1.熟悉常用中规模计数器的逻辑功能。2.掌握二进制计数器和十进制计数器的工作原理。二、实验设备1.数字电路实验箱2.数字万用表2.数字双踪示波器3.74LS90三、实验原理计数是一种最简单的基本运算, 计数器在数字系统中主要是对脉冲的个

9、数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器按计数进制分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,双向计数器等。目前,TTL和CMOS电路中计数器的种类很多,大都具有清零和预置功能,使用者根据器件手册就能正确地运用这些器件。实验中用到异步清零二五十进制异步计数器74LS90和异步清零同步置数四位二进制计数器74LS161。1、异步清零2-5-10进制异步计数器74LS9074LS90是一块2-5-10进制异步计数器,它由四个主从JK触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。在74LS90计数

10、器电路中,设有专用置0端R01 R02和置9端S91 S92。当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;时钟从CP2引入,Q3输出为五进制;时钟从CP1引入,Q0接CP2,即二进制的输出与五进制的输入相连,则Q3Q2Q1Q0输出为十进制8421BCD码;时钟从CP2引入,而Q3接CP1,即五进制的输出与二进制的输入相连,Q0Q3Q2Q1输出为十进制5421BCD码。74LS90功能表输入输出CP110000011000000111001011100100计数00计数00计数00计数四、实验内容1.实现0-9十进制计数2.实现六进制计数3.实现0,2,4,6,8,1,3

11、,5,7,9计数五、实验操作与结果1、输入信号为<=5Hz,5V方波,用74LS90实现十进制设计电路如下:状态转换真值表 8421BCD计数00000001000100100010001100110100010001010101011001100111011110001000100110010000(二)输入信号为<=5Hz,5V方波利用清零法,六进制,0110清零,将Q1接R01,Q2接R02状态转换真值表000000010001001000100011001101000100010101010000(三)输入信号为<=5Hz,5V方波,用74LS90实现0、2、4、6、

12、8、1、3、5、7、9首先, 列出真值表输入输出F00000001020100401106100080001100113010150111710019与5421BCD码的真值表进行比较00000001000100100010001100110100010010001000100110011010101010111011110011000000比较得只要将5421BCD输出的0Q移到末端即可实现所需序列故将CP1接3Q,CP2接输入方波信号实验三 数码管显示控制电路一、 设计任务与要求1.能自动循环显示数字0,1,2,3,4,1,3,0,2,4二、 实验设备:数字电路实验箱;数字双踪示波器;数字

13、万用表;仿真软件multisim;74LS00、74LS90、电阻和电容。三、 实验原理:1.利用74LS90、74LS00、74LS10实现逻辑功能;2.经过卡诺图化简实现码制转换出现所需数列;3.用74LS47驱动七段译码管,经过数码管显示。四、 实验设计过程及电路图:1) 74LS90产生十进制计数器(5421BCD)以及所设计的序列相互对应如下表所示Q0Q3Q2Q1F3F2F1F0000000000001000100100010001100110100010010000001100100111010000010110010110101002)卡诺图如下:F1:Q0Q3Q2Q10 0 0

14、11110000000100111111010F0:Q0Q3Q2Q10 0 011110000010110111101000 F0=Q0/Q2/Q3+/Q0Q1/Q3 F1=0 F2=Q3 F3=/Q0Q2+Q0Q1 电路图如下:五、实验总结:1对卡诺图的化简是关键,这直接决定了所用门的多少;2使用仿真软件multisim时要注意线路的排布,防止混淆;3使用仿真软件multisim时可以采用分开测试和分模块测试的方法,这样可以很快找出原因。实验六 交通的信号灯控制电路一、设计任务与要求1设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间设为25s;

15、2要求黄灯先亮5秒,才能变换运行车道; 3黄灯亮时,要求每秒钟闪亮一次 。 二、设计原理图1分析系统的逻辑功能画出系统框图,它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号

16、。由它控制定时器开始下个工作状态的定时。      2画出交通灯控制器的ASM(Algorithmic State Machine,算法状态机) (1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许

17、通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:

18、 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态S0(00)甲绿,乙红甲车道通行,乙车道禁止通行S1(01)甲黄,乙红甲车道缓行,乙车道禁止通行S3(11)甲红,乙绿甲车道禁止通行,甲车道通行S2(10)甲红,乙黄甲车道禁止通行,甲车道缓行AG=1:甲车道绿灯亮; BG=1:乙车道绿灯亮;AY=1:甲车道黄灯亮; BY=1:乙车道黄灯亮;AR=1:甲车道红灯亮; BY=1:乙车道红灯亮;由此得到交通灯的ASM图,如 图12、2所示。设控制器的初始状态为S0(用状态框表示S0),当S0的持续时间小于25秒时,TL=0(用判断框表示TL),控制器保持S0不变。只有当S0的持续时间

19、等于25秒时,TL=1,控制器发出状态转换信号ST(用条件输出框表示ST),并转换到下一个工作状态。依此类推可以弄懂ASM图所表达的含义。3单元电路的设计 (1)定时器 定时器由与系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模25的定时信号TL。由两片74LS163级联组成的定时器电路如图12、4所示  图12、3 74LS163的外引线排列图和时序波形图      (2)控制器 控制器是交通管理的

20、核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。从ASM图可以列出控制器的状态转换表,如表12、3所示。选用两个D触发器FF1、FFO做为时序寄存器产生 4种状态,控制器状态转换的条件为TL和TY,当控制器处于Q1n+1Q0n+1 00状态时,如果TL 0,则控制器保持在00状态;如果,则控制器转换到Q1n+1Q0n+1 01状态。这两种情况与条件TY无关,所以用无关项"X"表示。其余情况依次类推,同时表中还列出了状态转换信号ST。表12.2 74LS163功能表     表12.3 控制器状态转换表   

21、; 状态转换表根据表12.3、可以推出状态方程和转换信号方程,其方法是:将Q1n+1、Q0n+1和 ST为1的项所对应的输入或状态转换条件变量相与,其中"1"用原变量表示,"0"用反变量表示,然后将各与项相或,即可得到下面的方程:      根据以上方程,选用数据选择器 74LS153来实现每个D触发器的输入函数,将触发器的现态值加到74LS153的数据选择输入端作为控制信号即可实现控制器的功能。控制器的逻辑图如图12.5所示。图中R、C构成上电复位电路 。 图 12、5控制器电路图 (3)译码器 译码器的主要任务是将控制器的输出 Q1、 Q0的4种工作状态,翻译成甲、乙车道上6个信号灯的工作状态。控制器的状态编码与信号灯控制

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论