MAAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计_第1页
MAAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计_第2页
MAAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计_第3页
MAAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计_第4页
MAAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计_第5页
已阅读5页,还剩24页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、MATLAB设计,FPGA实现,联合ISE和Modelsim仿真的FIR滤波器设计摘要:本文首先利用MATLA沪生两个频率不一样的正弦信号,并将这两个正弦信号相加,得到一个混叠的波形;然后利用MATLAB设计一个FIR低通滤 波器,并由Verilog实现,联合ISE和Modelsim仿真,实现滤除频率较 高的信号,并将滤波后的数据送到MATLAB分析。本文首先介绍FIR滤波器的基本原理,然后从目前最流行的的设计方式入手,逐步介绍FIR滤波器的设计,其流程图如图1所示:图1FIR滤波器的基本原理:数字滤波器是从分析信号中提取用户需要的信息,滤去不需要的信号成分或者干扰成分。数字滤波器的数学模型:

2、线性时不变数字滤波器的数学模型在时域中可以用线性常系数差分方程给出:其等效的Z变换为:PqgzN1 dkgzk 1当dk全为o时,Z域系统函数只有零点,数字滤波器的单位脉冲响应有限, 通常这用滤波器被称为有限冲激响应(FIR)滤波器。它的输出只与当前的输入 和过去的输入有关,跟过去的输出无关。 FIR滤波器的一个重要特性是具有线性相位,即系统的相异和频率成正比,可达到无失真传输。更加详细的介绍 FIR 滤波器的理论算法知识,请参考别的先关书籍资料、 二、 FIR 滤波器的设计及验证:1) 由MATLA产生FIR滤波器的输入数据,并存放到.txt文件中用MATLAB生两个频率分别为:0.5MHz

3、和2MHz的正弦信号,并将这两个正弦信号叠加,得到一个混叠的信号,并将这个混叠信号的波形数据存放到C盘根目录下面的 matlab_wave_data.txt文件中。MATLAB现代码如下:clear all;fs = 25000000; % 25M 采样率t = 0:1/fs:0.0002; % 共 0.0002 * 25000000 = 5000 个点f1 = 500000;f2 = 2000000;signal1 = sin(2*pi*f1*t); %频率为 0.5MHz 的正弦信号signal2 = sin(2*pi*f2*t); %频率为 2.0MHz 的正弦信% 由于正、余弦波形的值

4、在 0,1 之间,需要量化成 16bit, 先将数值放大y2 = fix(16384 + (2A14 - 1) * sig nail); %量化到 15bit量化到 15bity3 = fix(16384 + (2八14 - 1) * sig nal2); %y1 = y2 + y3; % 量化到 16bit % 再将放大的浮点值量化,并写道到存放在 C 盘的文本中fid = fopen('c:/matlab_wave_data.txt', 'wt');fprintf(fid, '%xn', y1); %以 16 进制的格式将数据存放到 .txt

5、 文件中。如果不用 16 进制,后面% 读数会出错。fclose(fid);plot(y2, 'b');hold on;plot(y3, 'b');hold on;plot(y1, 'r');legend('0.5MHz 正弦 ','2MHz 正弦 ',' 两者叠加 ');title('MATLAB 产生的两个正弦信号的叠加波形 ');信号波形图如图 2 所示:存放数据的文件路径、名称已经文本的内容如图 3 所示:图3用MATLAB读C盘根目录下的 matlab_wave_data.

6、txt 文件,验证存入的波形数 据是否正确,MATLA代码如下:fid = fopen('c:/matlab_wave_data.txt','r');for i = 1 : 5001;% 一共有 5001 个数据num(i) = fscanf(fid, '%x', 1);%从 fid 所指的文件中,以 16 进制的方式读出一个数据endfclose(fid);figure(2);plot(num,'b');legend('MATLAB 从 txt 文件中读出的原始叠加波形数据 ');title('直接回读M

7、ATLAB生的两个正弦信号的叠加波形');显示的波形如图 4 所示:图4对比图 4 和图 2 中的叠加波形,可知以上操作的正确性。2) 用MATLABS计FIR滤波器输入信号是频率别为 0.5MHz和2MHz的正弦信号的叠加,我们的任务是设计一 个低通滤波器滤除掉2MHz的干扰信号。因此,我们可以设计一个采样率为25MHz的低通滤波器,其通带带宽为 1MHz阻带宽度为2MHz通带内纹波抖动为1dB,阻带 下降为 80dB。在MATLAB的命令窗口输入:fdatool 命令并回车,打幵 FDATool工具箱,用MATLAB勺FDATool工具设计该滤波器,参数设置如图5所示:设计好参数后

8、,点击 DesignFilter ,可以在FDATool窗口的左上角看到滤波器 的阶数为 63 阶,点击 File ?Generate M-file ,并将滤波器命名为 mylowfilter 。图5编写如下代码,滤除混叠信号中的高频信号。Hd = mylowfilter; %滤波器名称output = filter(Hd, y1); %对叠加信号 y ,进行滤波处理figure(3);plot(y2, 'k');hold on;plot(output, 'r');legend('0.5MHz 原始信号 ',' 滤波后取出的信号 '

9、;);title('信号通过MTALAB勺低通滤波器后的波形');滤波后的波形如图 6 所示:图6生成 .coe 文件,用于 Xilinx 的 IPCore 设计滤波器, FDATool 窗口点击 File ?Export ,保持默认设置,点击 Export即可,次数在 MATLAB勺workspace 窗口多出一个Num的1*64的数组,这就是滤波器的系数,如图 7所示:图7由于MATLA生成的滤波器系数全是一些小数,而FPGA只能处理整数,因此我们必须将这些小数扩大一定的倍数,使它们变成整数。在MATLAB勺命令窗口输入下面的一段代码然后按Enter,即可将上面这些系数变为

10、整数,注意这里的 *32767 ,表示将系数扩大 32767 倍,这里的扩大倍数只能选2AN,目的是为了后面滤波后的波形数据的高位截取(丢掉低位,即除以2AN)0返回ans=0,表示操作正确。coeff=round(Num/max(abs(Num)*32767);% abs() 求绝对值, max() 求最大值, round() 四舍五入fid = fopen('e:/fircoe.txt','wt'); %将滤波器系数写入文件件中fprintf(fid,'%16.0fn',coeff);% 将滤波器系数以 16 位浮点数的格式保存fclose(

11、fid)程序运行的结果如图 8 所示:图8将文件的格式改为 .coe 格式,在文件的开口加上:radix = 10;coefdata =在每个数字前的空格处加一个逗号“ , ”,并在最后一个数字后面加上分号“ 如图 9 所示:图9这样就产生了可供 FIR 滤波器的 IPCore 可调用的 .coe 文件。3) 用Verilog编程读取MATLA产生的波形数据通过Verilog 的$readmemh()函数即可读出.txt文件中的数据(这里的数据是以 16 进制形式存储的) ,具体用法如下:reg 15 :0 data_in0:2000; /定义一个 16bit * 2001 的数组initia

12、l begin读出 MATLA产生的波形数据 0.5MHz_sin + 4MHz_sin 信号$readmemh("c:/matlab_wave_data.txt", data_in);/ 将 matlab_wave_data.txt 中的数据读入存储器 data_inEnd我在modelsim中尝试用readmemh来读取matlab生成的数据,但是一旦到了负数的地方就出现问题了,之后的所有数据都变成了xxxxx,因此我在用MATLAE产生波形数据时都给正弦信号添加了一个偏移量,将正弦信号平移到了 0之上。用 Modelsim 仿真工具可以看到读出的数据,并与原文件数据(

13、图3)比较,可以判断读出的数据是否正确,这里是ISE和Modelsim联合仿真的结果,如图10所示:图 104)由Xilinx 的FIR的IPCore实现FIR滤波器FIR 的 IPCore 的生成步骤就不多说了,简单的说一下参数配置情况:a)我们使用由MATLABt成的.coe文件导入滤波器的系数。b)输入信号的采样频率为 25MHz这里必须和MATLA旷生的波形数据的采样频率保持一致。c)FIR滤波器的运行时钟,这里我们选择 250MHz这里必须和仿真文件里给的时钟保持一致16bit ,因为d) 输入数据的类型,我们这里选择了无符号数,输入数据位宽为modelsim 不能读出负数要么用补码

14、,要么加偏移)。如图 11、图 12 所示:图 11图 12FIR 的 IPCore 的列化如下:FIR16_IP FIR16_IP_ins (.clk(clk), / input clk.rfd(rfd), / output rfd在其上升沿将输入数据加载到滤波器内核中.rdy(rdy), / output rdy在其上升沿输出滤波器的计算结果特别注意这个数据位宽.din(data_in_reg), / input 15 : 0 din.dout(dout); / output 35 : 0 dout我们主要对其进行简单的控制: 在 rfd 上升沿将输入数据加载到滤波器内核中, 在 rdy

15、上升沿输出滤波器的计算结果。具体的 Verilog 代码如下:always (posedge clk) beginif(reset = 1'b0) begin<=1'b0;endendm <= 1'b0;data_in_reg <= 16'h0000;end else beginrfd_1q <=rfd;rfd_2q <=rfd_1q;endendif(rfd_1q & rfd) begin/ rfd 信号的上升沿将输入数据加载到滤波器内核中endenddata_in_reg <= data_ini;i <= i

16、 + 1;m <= m;if(i = 2002)i <= 0;endendendendend always (posedge clk) beginif(reset = 1'b0) beginData_out_reg <= 0;j <= 0;n <= 0;endelse beginrdy_1q <= rdy;rdy_2q <= rdy_1q;if(rdy_1q & rdy) beginData_out_reg <= dout;j <= j + 1'b1;n <= n;endendend这里还做了一个附加功能, 将

17、 FIR 滤波器的输入数据存放到一个 .txt 文件当中, 然后用MATLABfc读取这个波形文件数据,看看读出的波形是否和原来的混叠波形一 样。具体的Verilog和MATLA代码如下:integerwr_file;initialwr_file = $fopen("c:/FIR_in_data.txt");always ( m ) beginif(reset = 1'b1) begin$fdisplay(wr_file,"%h", data_in_reg);/ 33bit数if(j = 11'd2002) /共写入 2001个数据$st

18、op;endendfid = fopen('c:/FIR_in_data.txt','r');for j = 1 : 2000;num1(j) = fscanf(fid, '%x', 1);%这句话的意思是从 fid 所指的文件以 16 进制方式读出一个数据。end fclose(fid);figure(4);plot(num1,'r');legend('Verilog 读出的 txt 文件中的数据 ');title('FIR 滤波器的输入数据 ');MATLAB 读出的波形数据如图 13 所示:图

19、 13面就将5) 将 FIR 滤波器的输出存入 .txt 文件中有上面的步骤我们已经验证了输入到 FIR 滤波器的数据都是正确的,FIR滤波器的输出数据保存到一个.txt文件当中供MATLAB取。/ 刚刚的问题是, matlab 读一个数据是 32bit 的,/ 而 FIR 的输出是 36bit 的,因此高 4bit 根本没有读上来。assign Data_out31:0 = Data_out_reg35:4;initialinteger w_file;w_file = $fopen("c:/FIR_out.txt");always ( n ) beginif(reset

20、= 1'b1) begin$fdisplay(w_file,"%h", Data_out31:14);/ 33bit数if(j = 11'd2002) /共写入 2001 个数据$stop;endend这里我也搞了好久才搞好,这里 FIR 滤波器的输出数据位宽变成了 36bit ,而输 入数据位宽是 16bit ,为什么数据会变大几万倍呢?因为我们在将滤波器的系数由小数变成整数的时候,对这些系数整体扩大了32767 倍,再做了一个四舍五入(影响滤波器精度) ,对滤波器的系数扩大的倍数越 大,四舍五入对精度的影响就越小,但是系数乘的倍数越大,FPGA在做乘加运

21、算也就越复杂,也就越耗时,越耗资源,因此我们需要找一个平衡点。这里为了将信号的幅度变回原始的幅度(尽可能的靠近) ,我们只能通过将低位 截取掉,截取低位相当于对数据做除法(除 2),所以前面的滤波器系数的扩大倍数 我们一定要用20,这样我们在这里还原信号幅度的时候,只需要截位就能达到目比如这里我们对滤波器的系数乘了 32767,那我们在做除法还原波形幅度时,只 需要除以 32767 即可(即截掉低 16bit )。还有一种操作方式就是我们只保留数据的 高 16bit (和输入数据的位宽保持一致) ,这两种方式波形的幅度也就几倍的差距, 我还没有完全搞懂这里,究竟怎样才能将波形的幅度完全的还原回

22、去,还是一个值 得好好思考的问题?另一个问题是我的电脑是 32bit位宽的,如果我们一次性让 MATLAB读取36bit 的数据那么数据的高 4bit 会读不上来, 会导致很奇怪的波形, 我也遇到了这个问题。 如图 14 所示, 波形明显可以通过一些平移拼合成一个正弦波。 通过对波形数据一个 个的分析,我找到了这个问题。图 14图 15 是波形幅度发生变化的截图:图 156)由MATLA牍入FIR滤波器的输出数据,并分析滤波结果由MATLAB取FIR滤波器的输出数据,分析波形,具体的代码如下:fid = fopen('c:/FIR_out.txt','r');f

23、or i = 1 : 2000;num(i) = fscanf(fid, '%x', 1);%这句话的意思是从 fid 所指的文件以 16 进制方式读出一个数据。endfclose(fid);figure(5);plot(num,'r');y4 = y2;hold on;plot(y4, 'k');legend(' 经过 FIR_IPCore 滤波后的数据 ','0.5MHz 的原始数据放大 16384 倍');title(' 经过 FIR 滤波器的输出数据 ');波形数据如图 16 所示:图 16

24、三、 总结本次设计我大约耗时一周, 从 0 开始研究 FIR 滤波器的设计, 联合了 MATLA、B ISE、Modelsim三个工具,不得不佩服 MABLABS数据分析方面强大的功能。本 次设计走通了 FIR 滤波器的总体设计流程, 为以后的工程实用打下了基础, 当然 还有一些基本问题,如波形幅度如何完美的还原还没搞清楚,有待进一步研究。 在实际工程应用时, 我们可以通过联合 MATLA、BISE、 Modelsim 三个工具完全脱 离硬件来设计、 仿真、 验证 FIR 数字滤波器的性能, 肯定能够大大的缩短设计周期,提升滤波器性能 附录:是可以直附录为Verilog源代码和MATLABM代

25、码,这些源代码是经过调试的, 接使用的。供大家参考。Verilog 源代码:module FIR_Lowpass(clk,reset,Data_out);inputclk;inputreset;output31:0Data_out;reg35:0Data_out_reg;reg 10:0 i0;reg 10:0 j = 0;reg 15 :0 data_in0:2000; /定义一个 16bit * 2001 的数组reg 15:0 data_in_reg = 0;in itial beg in/读出 MATLA产生的波形数据 0.5MHz_sin + 4MHz_sin 信号$readmemh

26、("c:/matlab_wave_data.txt",data_in);/将matlab_wave_data.txt 中的数据读入存储器 data_inendwirerfd;wirerdy;wire 35:0 dout;reg rfd_1q;reg rfd_2q;reg rdy_1q;reg rdy_2q;reg n = 0;reg m = 0;always (posedge clk) beginif(reset = 1'b0) begini<=1'b0;m<=1'b0;data_in_reg <= 16'h0000;end

27、else beginrfd_1q <=rfd;rfd_2q <=rfd_1q;if(rfd_1q & rfd) begin/ rfd 信号的上升沿将输入数据加载到滤波器内核中data_in_reg <= data_ini;i <= i + 1;m <= m;if(i = 2002)i <= 0;endend endFIR16_IP FIR16_IP_ins (.clk(clk), / input clk.rfd(rfd), / output rfd在其上升沿将输入数据加载到滤波器内核中.rdy(rdy), / output rdy在其上升沿输出滤波器

28、的计算结果.din(data_in_reg), / input 15 : 0 din.dout(dout); / output 35 : 0 doutalways (posedge clk) beginif(reset = 1'b0) beginData_out_reg<=0;j<=0;n<=0;endelse beginrdy_1q <= rdy;rdy_2q <= rdy_1q;if(rdy_1q & rdy) beginData_out_reg<=dout;j<=j + 1'b1;n <= n;endendend/

29、刚刚的问题是, matlab 读一个数据是 32bit 的,而 FIR 的输出是 36bit 的,因此 高 4bit 根本没有读上来。/ assign Data_out31:0 = Data_out_reg35:4;assign Data_out31:0 = Data_out_reg35:4; /*integer wr_file;initialwr_file = $fopen("c:/FIR_in_data.txt");always ( m ) beginif(reset = 1'b1) begin$fdisplay(wr_file,"%h",

30、data_in_reg);/ 33bit数if(j = 11'd2002) / 共写入 2001 个数据$stop;endend/*integer w_file;initialw_file = $fopen("c:/FIR_out.txt");always ( n ) beginif(reset = 1'b1) begin$fdisplay(w_file,"%h", Data_out31:14);/ 33bit数if(j = 11'd2002) / 共写入 2001 个数据$stop;end endendmoduleMATLAB源

31、代码%*MATLAB 产 生 信 号 并 保 存 到 .txt文 件 中*clear all;fs = 25000000; % 25M 采样率t = 0:1/fs:0.0002;% 共 0.0002 * 25000000 = 5000 个点 f1 = 500000;f2 = 2000000;signal1 = sin(2*pi*f1*t); %频率为 0.5MHz 的正弦信号signal2 = sin(2*pi*f2*t); %频率为 4.0MHz 的正弦信号%y1 = signal1 + signal2; %两个正弦信号叠加%x = linspace(0, 12.56, 2048); %在区

32、间 0,6.28 = 2*pi之间等间隔的取 1024个点I 八、%y1 = sin(x); % 计算相应的余弦值% 由于正、余弦波形的值在 0,1 之间,需要量化成 16bit, 先将数值放大%y1 = y1 * 32768; % 32 * 1024 = 32768 %y1 = y1 * 16384; % 32 * 1024 = 32768%y1 = y1 + 32768;y2 = fix(16384 + (2A14 - 1) * sig nail);y3 = fix(16384 + (2八14 - 1) * sig nal2);y1 = y2 + y3;% 再将放大的浮点值量化,并写道到存

33、放在C 盘的文本中fid = fopen('c:/matlab_wave_data.txt', 'wt');%fprintf(fid, '%16.0fn',y1);% 在 写 文 件 时量 化 为 16bit 的定 点 实数【%16.0f,16.0 表示 16bit 定点数, f 表示实数】,范围是: -32768 - 32767fprintf(fid, '%xn', y1); %在写文件时量化为 16bit 的定点实数【 %16.0f,16.0表示 16bit 定点数, f 表示实数】,范围是: -32768 - 32767fc

34、lose(fid);figure(1);plot(y2, 'b');hold on;plot(y3, 'b');hold on;plot(y1, 'r');legend('0.5MHz 正弦 ','2MHz 正弦 ',' 两者叠加 '); title('MATLAB 产生的两个正弦信号的叠加波形 ')%*MATLAB 回 读 保 存 到 .txt 文 件 中 的 信 号*fid = fopen('c:/matlab_wave_data.txt','r');for i = 1 : 5001;%num(i) = fscanf(fid, '%f', 1)

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论