半加器和全加器_第1页
半加器和全加器_第2页
半加器和全加器_第3页
半加器和全加器_第4页
半加器和全加器_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验 半加器和全加器、实验目的:1学会用电子仿真软件 Multisim7 进行半加器和全加器仿真实验。2学会用逻辑分析仪观察全加器波形: 3分析二进制数的运算规律。4. 掌握组合电路的分析和设计方法。5验证全加器的逻辑功能。、实验准备:组合电路的分析方法是根据所给的逻辑电路, 写出其输入与输出之间的逻辑 关系 (逻辑函数表达式或真值表 ),从而评定该电路的逻辑功能的方法。一般是首 先对给定的逻辑电路, 按逻辑门的连接方法, 逐一写出相应的逻辑表达式, 然后 写出输出函数表达式, 这样写出的逻辑函数表达式可能不是最简的, 所以还应该 利用逻辑代数的公式或者卡诺图进行简化。 再根据逻辑函数表达式写

2、出它的真值 表,最后根据真值表分析出函数的逻辑功能。例的逻辑功能图 3.5.11. 写输出函数 Y 的逻辑表达式:W AAB ABB 3.5.1X WWC WCC 3.5.2Y X XD XDD 3.5.32. 进行化简:W AAB ABB AB AB 3.5.4.3. .3.5.6X WC WC ABC ABC ABC ABC 5.5Y XD XD ABCD ABCD ABCD ABCDABCD ABCD ABCD ABCD 3. 列真值表:表 3.5.1:A B C DY0 0 0 000001100101001100100101010011000111110001100101010010

3、111110001101111101111104.功能说明:逻辑图是一个检奇电路。输入变量的取值中,有奇数个 1 则有输出,否则 无输出。组合电路的设计目的就是根据实际的逻辑问题, 通过写出它的真值表和逻辑 函数表达式, 最终找到实现这个逻辑电路的器件 ,将它们组成最简单的逻辑电路。例如:设计半加器逻辑电路1. 进行逻辑抽象:如果不考虑的来自低位的进位将两个 1 位二进制数相加,称为半加。设 A、B 是两个加数, S 是它们的和, Ci 是向高位的进位。则根据二进制数相加的规律,可以写出它们的真值表如表 3.5.2 所示表 3.5.2 :输入输出ABSCi00000110101011012.

4、写出逻辑函数式:S AB AB A B 3.5.7Ci AB3. 选定器件的类型: 可选异或门来实现半加和; 可选两片与非门 (或一片与门 )实现向高位的进位 如图 3.5.2 所示。图 3.5.2、计算机仿真实验内容:1. 测试用异或门、与门组成的半加器的逻辑功能:(1) . 按照图 3.5.3 所示,从电子仿真软件 Multisim7 基本界面左侧左列真实元 件工具条中调出所需元件:其中,异或门74LS86N从“ TTL”库中调出;与门4081BD_5V 从“ CMOS”库中调出。指示灯从电子仿真软件 Multisim7 基本界面 左侧右列虚拟元件库中调出, X1 选红灯; X2 选蓝灯。

5、(2) . 打开仿真开关,根据表 3.5.3 改变输入数据进行实验,并将结果填入表 内。表 3.5.3 :输入输出ABSCi000110112. 测试全加器的逻辑功能:(1). 从电子仿真软 件 Multisim7 基本界面左侧左列真 实元件 工具条中CM OS ”库 中 调 出 或 门407 1BD_5V、与门 4081BD_5V;从“ TTL”库中调出异或门 74LS86D,组成仿真电路 如图 3.5.4 所示。图 3.5.4(2). 打开仿真开关,根据表 3.5.4 输入情况实验,并将结果填入表内表 3.5.4 :输入输出ABCi 1SCi000001010011100101110111

6、3. 用逻辑分析仪观察全加器波形:(1). 先关闭仿真开关,在图 3.5.4 中删除除集成电路以外的其它元件WordXWG1”,(2). 点击电仿真软件 Multisim7 基本界面右侧虚拟仪器工具条中的“Generator”按钮,如图 3.5.5(左图 )所示,调出字信号发生器图标 (右图)“ 将它放置在电子平台上。图 3.5.5(3) . 再点击虚拟仪器工具条中的“ Logic Analyzer” 按钮,如图 3.5.6(左图 ) 所示,调出逻辑分析仪图标 (右图 )“XLA1”,将它放置在电子平台上。图 3.5.6(4) . 连好仿真电路如图 3.5.7 所示图 3.5.7(5) . 双

7、击字信号发生器图标 “ XWG1”,将打开它的放大面板如图 3.5.8 所示。 它是一台能产生 32 位(路 )同步逻辑信号的仪表。按下放大面板的“ Controls”栏 的“ Cycle”按钮,表示字信号发生器在设置好的初始值和终止值之间周而复始 地输出信号;单选“ Display”栏下的“ Hex”表示信号以十六进制显示; “Trigger” 栏用于选择触发的方式; “Frequency”栏用于设置信号的频率。图 3.5.8(6) . 按下“ Controls”栏的“ Set ”按钮,将弹出对话框如图 3.5.9 所示。单 选“ Display Type”栏下的 16 进制“ Hex”,再

8、在设置缓冲区大小“ Buffer Size”输 入“ 000B”即十六进制的“ 11”,如图中鼠标手指所示,然后点击对话框右上角 “Accept”回到放大面板。图 3.5.9(7) . 点击放大面板右边 8 位字信号编辑区进行逐行编辑, 从上至下在栏中输 入十六进制的 000000000000000A共 11 条 8 位字信号,编辑好的 11条 8 位字 信号如图 3.5.10 所示,最后关闭放大面板。图 3.5.10(8) . 打开仿真开关,双击逻辑分析仪图标“ XLA1”,将出现逻辑分析仪放大 面板如图 3.5.11 所示。将面板上“ Clock”框下“ Clock/Div”栏输入 12,

9、再点击 面板左下角 ”Reverse按”钮使屏幕变白, 稍等扫描片刻, 然后关闭仿真开关。 将逻 辑分析仪面板屏幕下方的滚动条拉到最左边,见图中鼠标手指所示。图 3.5.11(9) . 拉出屏幕上的读数指针可以观察到一位全加器各输入、 输出端波形, 例如:图 3.5.12中读数指针所在位置表示输入信号 A =0、B =1、Ci 1 =1;S =0、C i =1。(注:屏幕左侧标有“ 9”的波形表示 A ;标有“ 10”的波形表示 B;标有“ 8”的波形表示 Ci 1;标有“ 13”的波形表示 S;标有“ 14”的波形表示 Ci。)(10) . 按表3.5.5要求,用读数指针读出 4个观察点的状

10、态, 并将它们的逻辑 状态和逻辑分析波形填入表中。图 3.5.12表 3.5.5:测点变量1234状态波形状态波形状态波形状态波形输入ABCi 1100010110101输出S Ci四、实验室操作实验内容:设计两个一位二进制数相加的全加器:1 进行逻辑抽象分析:考虑的来自低位的进位将两个 1位二进制数相加, 称为全加。设A 、B是两个加数, Ci 1 为来之低输入输出A BCi 1SCi位的进位, S 是它们的和, Ci 是向高 位的进位。则根据二进制数相 加的规律,可以写出它们的真值表。2写出全加器的 S 和 Ci 的逻辑表达表。3. 根据 全加 器的 逻辑表达表画出电路3. 根据电路图选取集成电路,并在数字实验台上搭好实验电路4. 在实验台上进行全加器实验,并填好表 3.5.6。表 3.5.6 :000001010011100101110111五、实验报告要求:1. 完成仿真实验中的表 3.5.3表的填写。2. 总结设计全加器实验的分析、步骤和体会,写出完整的设计报告。六、实验设备及材料:1. 仿真计算机及软件 Multisim7 。2. THD-1型(或 Dais-2B型)数电实验箱。3. MF-10 型万用表。4 电子元件:数字集成电路: 74LS86、 CD4081、CD4071各一片。Vcc 4B 4A 4

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论