毕业论文基于CPLD的出租车计价器的设计_第1页
毕业论文基于CPLD的出租车计价器的设计_第2页
毕业论文基于CPLD的出租车计价器的设计_第3页
毕业论文基于CPLD的出租车计价器的设计_第4页
毕业论文基于CPLD的出租车计价器的设计_第5页
已阅读5页,还剩42页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于 cpld 的出租车自动计价系统的设计作者姓名:李 刚刚专业名称:信息工程指导教师:大学 讲师基于 cpld 的出租车自动计价系统的设计 i摘摘 要要随着电子行业的迅猛发展,计价器在各个领域中的使用越来越频繁,用户对计价器的要求也越来越高。以出租车多功能计价器为例,用户不仅要求计价器性能稳定,计费准确;不同国家和地区的收费方式存在差异,即使在同一地区,不同车型的出租车其收费方式也有差别,而且出租车还面临几年一次的调价或调整收费方式等问题。传统的基于单片机设计的出租车多功能计价器已远远跟不上这种变化,功能升级很繁琐,需要硬件重组和软件更新同步进行,成本高,并且每次升级都可能产生新的不稳定因素

2、;而本设计是基于复杂可编程逻辑器件 (cpld)的出租车自动计价系统,采用超高速集成电路硬件描述语言 vhdl 和逻辑综合为基础的自顶向下的电路设计方法,在硬件电路不加改变的前提下, 功能升级十分方便,从使用情况看, 该设计稳定性好、可靠性高, 市场前景良好,而且开发成本低,周期短,极大的满足了用户的变化要求。关键词:硬件设计 cpld 超高速集成电路硬件描述语言 仿真基于 cpld 的出租车自动计价系统的设计 iiabstractalong with the fast fierce development of the electronics profession, charge the m

3、achine in each realm of usage is more and more multifarious, the request of customer to the charge machine is also more and more high. to take multi-function charge machine of the rent car as an example, the customer not only request to charge the machine function stability, charge accurate; the for

4、-rent car of different from the charges method existence difference of the region, even in same region, car in different nation type it charges the way but also have the difference, and rent the car to still faces a time fee hikes of several years or adjust to charge the way etc. problem. traditiona

5、l according to a machine design of multi-function charge machine of for-rent car has already canned not keep up with this kind of variety far and far, the function upgrade is very tedious, needing the hardware reorganization to carry on with the software renewal synchronously, the cost is high, and

6、upgrade each time may produce the lately unsteady factor; but according to programmable logic array( cpld) of the spot of multi-function charge machine of for-rent car, the adoption hardware description language the vhdl and logic is comprehensive for basal from the crest get down of the electric ci

7、rcuit design method, does not change in the hardware electric circuit under the premise, the function upgrade extremely conveniently, looking from the service condition, this design stability good, the reliability is high, the market prospect is good, moreover the development cost is low, the cycle

8、is short, enormous has satisfied the change request of customer.key words:hardware design , complex programmable logic device, extremely high soon the integrated circuit hardware description language, simulation.基于 cpld 的出租车自动计价系统的设计 iii目 录摘摘 要要 .i iabstractabstract .iiii1 1 前言前言 .1 11.1 课题研究背景.11.2

9、 本文所做的工作.32 2相关技术介绍相关技术介绍 .4 42.1 cpld 简介.42.1.1 cpld 的基本结构.42.1.2 cpld 的特点.42.2 xilinx公司可编程逻辑器件介绍.52.2.1 xc9500 系列器件结构简述.52.2.2 可编程 cpld 芯片 xc9572 产品规格说明.82.3 vhdl 语言简介 .102.3.1 vhdl 语言的优点 .102.3.2 使用 vhdl 语言的可行性 .122.4 xilinx foundation f1.5 系统简介.123 3 出租车自动计价系统的设计出租车自动计价系统的设计.13133.1 系统基本设计思想及实现的

10、功能及要求.133.1.1 系统基本设计思想.133.1.2 系统实现的功能及要求.133.2 系统硬件设计.143.2.1 外围电路介绍.143.3 系统软件设计.183.3.1 电子钟程序设计.183.3.2 计价部分程序设计.193.4 程序仿真图.25结结 论论 .2929致致 谢谢 .3030参考文献参考文献 .3131基于 cpld 的出租车自动计价系统的设计 iv附录附录 a a(系统程序)(系统程序).3232基于 cpld 的出租车自动计价系统的设计 11 前言1.1课题研究背景当今社会是数字集成电路广泛应用的社会。数字集成电路由早期的电子管、晶体管、小中规模集成电路、发展到

11、超大规模集成电路(vlsic,几万门以上)以及许多具有特定功能的专用集成电路 asic(application specific integrated circuit)。在现代复杂的数字逻辑系统中,专用集成电路的应用越来越广泛,曾经广泛使用的由基本逻辑门和触发器构成的中小规模集成电路所占的比例越来越少。专用集成电路 asic 是面向用户定义用途或特定功能的大规模,超大规模集成电路。专用集成电路的英文是 application specific integrated circuit, sic 是其英文缩写。asic有数字的、模拟的、数字和模拟混合的。按制造方式区分,有全定制 asic、有半定制a

12、sic、可编程 asic 三种。其中可编程 asic 可做到用户在现场对其编程来实现各种特定的逻辑功能。正是可编程 asic 独特的器件性能和应用方式使拥护可“自制”大规模数字集成电路的理想成为现实。现在,使用可编程 asic 和相应的 eda 开发系统,用户可以借助计算机实现各种实际的数字电路或电子系统的设计、功能模拟、时间模拟以及系统调试。因此,可编程 asic 的问世及广泛应用促进了电子系统设计方法的重大变革这一说法毫不过分。从 20 世纪 70 年代 intel 公司第一个推出 4004mpu 起到 80 年代初,是 mpu 技术飞速发展的时期。mpu 技术的快速渗透刺激了 mpu 外

13、围 lsi 器件的发展。当时由mpu、mpu 的外围 lsi 器件,通用 ic 这三大积木块搭起来可以标准地实现一个复杂的电子系统。到了 20 世纪 80 年代中期 mpu 由 8 位、16 位发展到 32 位,速度和集成度越来越高,再加上电子产品的少少批量多品种化趋势,高速低功耗及小型化的要求,原来的电子系统中 mpu 的外围 lsi 和通用 ic 适应不了这一技术上的变化。20 世纪 80年代中期以来可编程 asic 以其现场可编程,高速,高集成度的优势充当了电子系统中的新的积木块。由 mpu、存储器和可编程 asic 这三个可编程的积木块组成现代电子系统已形成趋势或潮流。是否采用可编程

14、asic 来实现电子产品的设计已成为衡量电子产品是否先进的标准之一。可以说可编程 asic 技术是现代电子系统设计的新潮流,对于一个现代电子系统设计师来说,学习应用可编程 asic 技术势在必行。基于 cpld 的出租车自动计价系统的设计 2虽然 asic 的成本很低,但设计周期长,投入费用高。可编程逻辑器件(pld,programmable logic device)自问世以来,经历了从低密度的prom、pla、pal、gal 到高密度的现场可编程门阵列(fpga,field programmable gate array)和复杂可编程逻辑器件 cpld(complex programmab

15、le logic device)的发展过程。大规模可编程逻辑器件的出现打破了中小规模通用型集成电路和大规模专用集成电路垄断的天下,它们既继承了专用集成电路的高集成度、高可靠性的优点,又克服了专用集成电路设计周期长、投资大和灵活性差的缺点;它们也可以解决使电子系统小型化、低功耗、高可靠性等问题。利用它们进行产品开发,不仅设计制造成本低、设计开发周期短、开发工具先进、可靠性高,而且具有完全的知识产权,给设计人员带来了诸多方便。fpga/cpld 因此被广泛应用于电子产品的原型设计和电子产品生产(一般在 1 万件以下)之中。几乎所有应用门阵列、pld 和中小规模通用数字集成电路的场合均应用 fpga

16、 和 cpld 器件。fpga 与 cpld 都是可编程逻辑器件,它们是在 pal、gal 等逻辑器件的基础之上发展起来的,它们在高密度、高速度、低功耗等方面发展很快,同以往的pla、pal、gal 等相比较,fpga/cpld 的规模比较大,它可以替代几十甚至几千块通用 ic 芯片。在这十几年的发展过程中,以 fpga/cpld 为代表的数字系统现场集成技术取得了惊人的发展,单一芯片的门数从几百门增加到数百万门,现场可编程逻辑器件从最初的 1200 个可利用门,发展到 90 年代的 25 万个可利用门。目前,国际上著名半导体器件公司有 xilinx,lattice,altera 等,研发出若

17、干新型的大规模可编程逻辑器件。cpld 有 xilinx 公司的 xc9500 系列, lattice 公司的 isplsi/plsi1000 系列和 mach5 系列,altera 公司的 max7000 系列和 max9000 系列等。xilinx 公司的 xc5000/4000 系列,actel 公司的 act 系列,ti 公司的 tpc 系列, altera 公司的 flex 系列等是 fpga 的代表产品。厂商又陆续推出了数百万门的单片 fpga 芯片,将现场可编程器件的集成度提高到一个新的水平。随着可编程逻辑器件及 eda 技术的高速发展,电子系统向集成化、小型化、大规模和高速度的

18、方向发展,电子系统的设计技术和工具也发生了深刻的变化。计算机技术的普及加速了电子设计自动化技术的进程,eda 开发系统的自动化和智能化程度也日臻完善。由美国国防部提出的 vhdl(very high speed integrated circuit hardware 基于 cpld 的出租车自动计价系统的设计 3description language)即超高速集成电路硬件描述语言,是为了要解决项目间的信息交换困难和设计维护困难,同时也为解决当时的超高速集成电路(vhsic,very high speed integrated circuit)计划而提出的一种硬件描述语言,采用高层次的、自顶向

19、下的设计方法来描述硬件,非常适合当前需要。它改变了传统的数字系统的描述方法和设计方法,使得软件实现硬件化、硬件设计软件化、用户自制大规模和超大规模集成电路等都成为了现实。美国国防部 1987 年 12 月 ieee 接受 vhdl 为标准的 hdl,这就是今天的 ieee std1076-1987 和 ieee std1076-1993。foundation series 可编程器件开发系统是 xilinx 公司设计的 eda 工具,具有很强的开发和设计功能,是电子设计不可缺少的工具,他可以接受多种方式的输入:原理图输入、文本输入(硬件描述语言)、第三方 eda 工具提供的接口等。系统的仿真器

20、具有很强灵活性,可以控制对单器件或多器件的仿真。1.2本文所做的工作出门坐出租车已成为现在很多人的选择,不仅随叫随到而且快捷方便,那么公平合理准确的计费就成为人们最关注的问题。本文主要内容是利用 cpld 可编程逻辑器件设计并实现一个适合实际的出租车计价系统,采用 vhdl 语言进行编程,编程环境为 xilinx 公司的可编程器件的开发系统(xilinx foundation),源程序文件经编译后下载到可编程逻辑器件 xc 9572 芯片内部后,可应用于实际的出租车计价系统中。基于 cpld 的出租车自动计价系统的设计 42相关技术介绍2.1 cpld 简介复杂可编程逻辑器件 cpld 与现场

21、可编程门阵列 fpga 都是在 pla、pal、gal等逻辑器件的基础上发展起来的。与以往的 pla、pal、gal 等相比较,fpga/cpld的规模比较大,它可以替代几十甚至几千块通用 ic 芯片。这样的 fpga/cpld 实际上就是一个子系统部件。这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。2.1.1 cpld 的基本结构cpld 的集成度在千门/片以上,其基本结构是由与阵列、或阵列、输入缓冲电路、输出宏单元组成。其与阵列比 pal 大得多,但并非靠简单的增大阵列的输入、输出端口达到。阵列占用芯片的面积随其输入端数的增加而急剧增加,而芯片面积的增大不仅使芯片的成本增大,

22、还使信号在阵列中传输延迟加大而影响其运行速度。所以cpld 通常是由多个类似 pal 功能块组成,具有很大的固定于芯片上的布线资源,通过位于中心的互连矩阵连接在一起。互连阵列要将来自 i/o 的信号和逻辑块的输出布线到器件内任何逻辑块的输入。一般互连矩阵有两种形式:基于阵列的互连和基于多路开关的互连。基于阵列的互连是纵横开关的实现方式,它允许任何输入到互连矩阵中的信号布线到任何逻辑块,是完全可布通的。基于多路开关的互连是对逻辑块的每个输入有一个多路转换器,输入到互连矩阵的信号被连接到每个逻辑块的大量多路开关的输入端,这些多路转换器的选择是可编程的,只允许其一个输入通过它进入逻辑块。所以布通率与

23、多路转换器的输入宽度有关,宽度愈大,所占面积增加,性能降低。与 fpga 相比,cpld 不采用分段互连方式,因而具有较大的时间可预测性,产品可以给出引腿到引腿的最大延迟时间;此外,cpld 具有很宽的输入结构,适合于实现高级的有限状态机;具有 isp 性能的 cpld,可以直接在系统内对其进行编程,因而类似于具有 isp 性能的 sram 查找表类型的 fpga。基于 cpld 的出租车自动计价系统的设计 52.1.2 cpld 的特点20 世纪 80 年代中期,altera 和 xilinx 分别推出了类似于 pal 结构的扩展型 cpld和与标准门阵列类似的 fpga ,它们都具有体系结

24、构和逻辑单元灵活、集成度高以及适用范围宽等特点。这两种器件兼容了 pld 和通用门阵列的优点,可实现较大规模的电路,编程也很灵活,具有以下特点:(1) 目前的 cpld 主要是基于 e2 prom 或 flash 存储器编程 ,编程次数达 1 万次。其优点是在系统断电后 ,编程信息不丢失。cpld 又可分为在编程器上编程和在系统编程 (isp) cpld 两种。 isp 器件的优点是不需要编程器 ,可先将器件装焊于印制板 ,再经过编程电缆进行编程,编程、调试和维护都很方便 。(2) cpld 是将多个可编程阵列逻辑 (pal)器件集成到一个芯片 ,具有类似 pal 的结构。一般情况下 cpld

25、 器件中至少包含三种结构 :可编程逻辑功能块 (fb);可编程 i/ o 单元 ;可编程内部连线 。(3) 在速度上 cpld 优于 fpga。由于 fpga 是门级编程 ,且 clb 之间是采用分布式互连 ;而 cpld 是逻辑块级编程 ,且其逻辑块互连是集总式的。因此 ,cpld 比 fpga 有较高的速度和较大的时间可预测性 ,产品可以给出引腿到引腿的最大延迟时间 。(4) fpga/cpld 软件包中有各种输入工具和仿真工具,以及版图设计工具和编程器等全线产品,电路设计人员在很短的时间内就可完成电路的输入、编译、优化、仿真,直至最后芯片的制作。(5) 电路设计人员使用 fpga/cpl

26、d 进行电路设计时,软件易学易用。2.2 xilinx 公司可编程逻辑器件介绍目前生产 cpld 和 fpga 的公司主要有 altera、xilinx、lattice 以及 amd 公司。本次设计所使用的可编程逻辑器件是由 xilinx 公司生产的 cpld 器件xc9572。以下就对 xilinx 公司生产的 xc9500 系列以及本次所采用的 xc9572 进行介绍。2.2.1 xc9500系列器件结构简述xc9500 系列器件采用 isp 技术。每个 xc9500 系列器件是一个由多个功能块(fb)和 i/o 块(iob)组成,可用开关矩阵 fastconnect 完全互连的子系统,i

27、ob 提供器件基于 cpld 的出租车自动计价系统的设计 6输入和输出的缓冲,每个 fb 提供具有 36 个输入和 18 个输出的可编程逻辑的容量。fastconnect 开关矩阵连接所有 fb 的输出和输入信号到 fb 的输入端,对于每个fb,1218 个输出(取决于封装的引腿数)和有关的输出使能信号直接驱动 i/b。图 2.1所示的是 xc9500 系列器件的结构。它采用了基于 flash 的 0.35m 技术,可以提供10000 次以上编程/擦除周期。xc9500 系列器件分为以下三种系列:(1) xc9500xv 系列器件:2.5visp;引脚至引脚延时 3.5ns,fsys=200m

28、hz;多种电压的 i/o 接口,可以支持的电压为 3.3v/2.5v/1.8v。(2) xc9500xl 系列器件:3.3visp;引脚至引脚延时 4ns,fsys=196mhz;多种电压的 i/o 接口,可以支持的电压为 5.0v/3.3v/2.5v。(3) xc9500 系列器件:5.0visp;引脚至引脚延时 5ns,fsys=126mhz;多种电压的 i/o 接口,可以支持的电压为 5.0v/3.3v。以下介绍一下 xc9500 系列的基本结构。(1) xc9500 功能块(fb )每个功能块由 18 个独立的宏单元组成,能够实现组合逻辑和时序逻辑功能。fb能接收全局时钟,输出使能和复

29、位/置位信号。fb 生成 18 个输出以驱动fastconnect,这 18 个输出与其对应的输出使能信号也可以驱动 i/ob。功能块的结构框图如图 2.1 所示基于 cpld 的出租车自动计价系统的设计 7图 2.1 功能块 fb 的结构框图(2) 宏单元(macrocell)通常宏单元由可编程的逻辑阵列、乘积项分配器、可编程寄存器、数据选择器以及一些相应的简单的门电路构成。一般来说,xc9500 系列的每一个宏单元可以单独配置成组合逻辑功能或者时序逻辑功能,这主要取决于宏单元中的寄存器是否被旁路。图 2.2 说明了 fb 中宏单元的内部结构图 2.2 fb 中宏单元的内部结构(3) 开关矩

30、阵(switch matrix)开关矩阵也是 cpld 内部可编程的重要资源,其连接信号到 fb 的输入。所有uob 的输出和所有 fb 的输出都驱动 fastconnect 开关矩阵。这些信号的任一个都可以通过编程选择以统一的延时驱动每个 fb。如图 2.3 所示。(4) i/o 模块(iob)基于 cpld 的出租车自动计价系统的设计 8在 xc9500 系列 cpld 的内部结构中,i/o 块的功能是用来为 cpld 的输入和输出提供相应的缓冲,即用来为内部逻辑电路和器件的用户引脚之间提供相应的接口,它的具体结构和输出使能如图 2.4 所示。图 2.3 fastconnect 开关矩阵(

31、5) 乘积项分配器(product term allocator)在 xc9500 系列 cpld 的 fb 中,乘积项分配器的主要功能是用来控制如何将直接来自于可编程与阵列的 5 个乘积项分配给相应的宏单元来使用。2.2.2 可编程 cpld 芯片 xc9572 产品规格说明1、xc9572 的特性(1) 所有引脚提供 7.5ns 引脚引脚逻辑延时;(2) 125mhz 计数频率;(3) 具有 1600 个可用门的 72 个宏单元;基于 cpld 的出租车自动计价系统的设计 9(4) 72 个用户 i/o 引脚;(5) 5v isp(内部系统可编程); 可完成 10000 次编程/擦除;图

32、2.4 iob 结构图 可完成所有商用电压和温度范围的编程/擦除;(6)增强的引脚锁定结构;(7) 灵活的 36v 18 功能块; 90 个乘积项可驱动功能块内 18 个宏单元的任意乘积项; 具有全局时钟、乘积项时钟、输出使能及置位复位信号;(8) 扩展的 ieee std 1149.1 边界扫描支持(jtag);(9) 每个宏单元内具有可编程功率转换模式;基于 cpld 的出租车自动计价系统的设计 10(10) 单个输出时有转换速率控制功能;(11) 用户可编程地针功能;(12) 为设计保护提供的扩展模式安全特性;(13) 高驱动的 24ma 输出;(14) 3.3v 或 5v 的 i/o

33、能力;(15) 先进的 cmos 5v 快速闪烁技术;(16) 支持多片的 xc9500 并行编程;(17) 支持 44 脚 plcc,84 脚 plcc,100 脚 pqfp 和 100 脚 tqfp 封装形式。2、xc9572 特性说明xc9572 是一种高性能的 cpld,为一般的逻辑集成提供了先进的系统内部编程及测试功能。它由 8 个 36v18 功能块组成,功能块提供 1600 个 7.5ns 传输延时的可用门。系统的整体结构见图 2.5。2.3 vhdl 语言简介当前,在国内外应用的硬件描述语言有许多种,vhdl 语言是应用最广泛的硬件描述语言之一。vhdl 语言功能相当强大,不但

34、适应了当今电子设计自动化技术的飞速发展,还变革性地促进了电子设计自动化技术的进步。世界上一些著名 eda 公司的开发工具均支持 vhdl。用 vhdl 语言编程实现数字电子系统硬件设计容易做到技术共享,hdl 语言最适合于用 cpld 和 fpga 等器件实现数字电子系统设计。2.3.1 vhdl 语言的优点vhdl 语言主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,vhdl 的语言形式和描述风格与句法十分类似于一般的计算机高级语言。vhdl 语言的程序结构特点是见一项工程设计,或称设计实体(可以是一个元件、一个电路模块湖一个系统)分成外部(或称可视部分,即端

35、口)和内部(或称可视部分),即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其它的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 vhdl 系统设计的基本点。应用 vhdl 进行工程设计的优点是多方面的。基于 cpld 的出租车自动计价系统的设计 111、与其他的硬件描述语言相比,vhdl 具有更强的行为描述能力。2、vhdl 丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能检查设计系统的功能可行性,随时可对设计进行仿真模拟。3、 vhdl 语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和图 2.5 xc9572

36、 结构图已有设计的再利用功能。符合市场需求的大规模系统高效、高速的完成必须由许多甚至多个开发组共同并行工作才能实现。4、对于用 vhdl 完成一个确定的设计,可以利用 eda 工具进行逻辑综合和优化,基于 cpld 的出租车自动计价系统的设计 12并自动把 vhdl 描述设计转变成门级网表。vhdl 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。5、由于 vhdl 具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变类属参量或函数,就能轻易地改变设计的规模和结构。2.3.2使用 vhdl 语言的可

37、行性使用 vhdl 语言设计出租车自动计价系统,使其实现出租车上电子数字钟和计费器以及预置和模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示时钟和车费数目,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点。vhdl 语言编制的程序编译通过下载到特定芯片后,可应用于实际的出租车计价系统中。2.4 xilinx foundation f1.5 系统简介xilinx foundation 系统是 xilinx 公司可编程器件的开发系统之一,是一种目前使用较广的开发系统。该系统提供一个非常友好的用户界面,具有很强的开发和设计功能。在此开发系统下,用户可以完成从设计输入到设计仿真;从

38、设计编译到器件编程,全部操作都在一个图形界面下操作完成。foundation 系统 支持 xilinx 公司的全部系列的可编程逻辑器件,利用该系统可以开发任何一种 xilinx 公司可编程逻辑器件。基于 cpld 的出租车自动计价系统的设计 133 出租车自动计价系统的设计3.1系统基本设计思想及实现的功能及要求3.1.1系统基本设计思想本系统设计包含电子钟和计价器的设计,用 vhdl 语言实现整个系统的设计,编程环境为 xilinx foundation f1.5 系统。电子钟程序的设计要有时分秒的计量及显示,并且要有调节时间的功能。计价器程序的设计要有行驶路程、总金额和等待时间的计量及显示

39、。设计最终生成 sram 目标文件( 3 .sof) ,通过 jtag 端口下载、byte2blaster 编程电缆并行口 ps 配置,将设计数据下载到相应的 cpld 中。3.1.2系统实现的功能及要求出租车自动计价系统实现两方面的功能,一是有一个电子数字钟的显示,一是计价部分(计价器),如图3.1所示。1、电子钟实现功能及要求:(1)在led数码管上显示时、分、秒;(2)设置清零按键clr,clr信号有效时,使小时为1和分钟为1;(3)设置小时调整按键,使小时加1,设置分钟调整按键,使分钟加1。2、计价器实现功能及要求:(1)实现预置功能,能预置起步费,车行1.5公里内,且累计等待时间2分

40、钟内,起步费3元;(2)实现计价、计时、计程功能。计价部分为:起步费6元,车行1.5公里外以每公里1元计费,累计等待时间2分钟内不计费,2分钟外以每分钟1.5元计费。计价范围为0-999.9元,分辨率为0.1元。计时部分为:计算乘客等待时间,计时器量程为59分,满量程自动归零。计时分基于 cpld 的出租车自动计价系统的设计 14辨率为1分钟。计程部分为:计算乘客所行驶的公里数,计程器的量程为99公里,满量程自动归零。计程分辨率为0.1公里。(3)设计动态扫描显示电路,显示出租车费、等待时间、行驶路程。(4)公里脉冲传感信号设定为每发一个脉冲代表运行了0.1公里。3.2系统硬件设计系统硬件结构

41、及框图如图 3.1 所示。在硬件设计方面,因为是设计它的可实现性,所以电子钟的调节信号、等待信号和启动以及复位手动输入,在使用 foundation 软件模拟时则使用分频出的不同频率信号。这样硬件设计则主要包括这几部分:频率信号源、键盘、功能芯片 xc9572、数码管显示、显示位驱动电路及数码管段选驱动电路。xc9572 是一种高性能的 cpld,具有 72 个用户 i/o 引脚,图 3.2 是电路的具体设计电路,数码管显示部分共用了 9 个led 数码管,分别为 4 位计价显示,3 位计程显示,和 2 位等待时间显示,电子钟部频率信号分频模块控制模块等待信号公里脉冲计费/复位电子钟计费模块计

42、时模块计程模块数码管显示图 3.1 计价系统件功能框图led 数码管动态扫描信号f1f10f15f1基于 cpld 的出租车自动计价系统的设计 15分的数码管及驱动电路因重复所以省略,总体电路图如图 3.2 所示,在第三章介绍电子钟的程序设计部分。3.2.1外围电路介绍信号源晶振电路如图 3.3 所示。基于 cpld 的出租车自动计价系统的设计 16123456abcd654321dcbatitlenumberrevisionsizebdate:19-jun-2006sheet of file:h:sheet2.dd bdrawn by:io1io2io3io4io/gck15io/gck26

43、io/gck37io8io9io35io36io37io38io/gsr39io/gts240io/gts142io43io44io11io12io13io14io18io19io20io22io24io25io26io27io28io29io33io34tck17tdi15tms16tdo30vccint21gnd10u?xc9572-7pc44c(44)12345671098sm212345671098sm312345671098sm412345671098sm5eeeeddddt2t3t4t5ccccggggfffft2t3t4t5aaaabbbb12345671098sm6123456

44、71098sm712345671098sm812345671098sm912345671098sm1r1311kr1331kr1351kr1371kr1391kr1411kr1431kpnp1pnp2pnp3pnp4pnp5pnp6pnp7bg1019012bg1029012bg1039012bg1049012bg1059012bg1069012bg1079012t4t5t6t7bg1099013bg1109013bg1119013bg1129013r1091kr1101kr1111kr1121ka4a5a6a7b7r1311kr1311kr1311kr1311kr1311kr1311kr13

45、11kabcdefgvcck55k44k33k22k00k11keyh2keyh1keyh0r510kr410kvcc1gnd2tck3tdo4tdi5tms6j3xjtaggndtcktdotditmsvccnc1gnd2vcc4out3u5240hzvccvcctmstdotditckvcct3bg1129013r1121ka3t2bg1129013r1121ka2t9bg1129013r1121ka9t1bg1129013r1121ka1t8bg1129013r1121ka8gft1abedt1cgft6abedt6cedt7cedt8cedt9cbat9fgbat8fgbat7fg图

46、3.2 总体设计电路图基于 cpld 的出租车自动计价系统的设计 17123456abcd654321dcbatitlenumberrevisionsizebdate:15-jun-2006sheet of file:c:documents and settingshuang桌桌sheet2.ddbdrawn by:nc1gnd2vcc4out3u5240hzvcc图 3.3 电源晶振因为本设计对信号频率精确读要求较高,所以使用 240 赫兹有源晶振,通过分频得到各分频信号。键盘控制电路如图 3.4。123456abcd654321dcbatitlenumberrevisionsizebdat

47、e:19-jun-2006sheet of file:h:sheet2.dd bdrawn by:io1io2io3io4io/gck15io/gck26io/gck37io8io9io35io36io37io38io/gsr39io/gt s240io/gt s142io43io44io11io12io13io14io18io19io20io22io24io25io26io27io28io29io33io34tck17tdi15tm s16tdo30vccint21gnd10u?xc9572-7pc44c(44)12345671098sm 212345671098sm 31234567109

48、8sm 412345671098sm 5eeeeddddt2t3t4t5ccccggggfffft2t3t4t5aaaabbbb12345671098sm 612345671098sm 712345671098sm 812345671098sm 912345671098sm 1r1311kr1331kr1351kr1371kr1391kr1411kr1431kpnp1pnp2pnp3pnp4pnp5pnp6pnp7bg1019012bg1029012bg1039012bg1049012bg1059012bg1069012bg1079012t4t5t6t7bg1099013bg1109013bg

49、1119013bg1129013r1091kr1101kr1111kr1121ka4a5a6a7b7r1311kr1311kr1311kr1311kr1311kr1311kr1311kabcdefgvcck55k44k33k22k00k11keyh2keyh1keyh0r510kr410kvcc1gnd2tck3tdo4tdi5tm s6j3xjtaggndtcktdotditm svccnc1gnd2vcc4out3u5240hzvccvcctm stdotditckvcct3bg1129013r1121ka3t2bg1129013r1121ka2t9bg1129013r1121ka9t1b

50、g1129013r1121ka1t8bg1129013r1121ka8gft1abedt1cgft6abedt6cedt7cedt8cedt9cbat9fgbat8fgbat7fg图 3.4 键盘控制电路 键盘控制电路的按键采用行列对应端口接通来实现信号输入,例如当 k0 按下时,芯片的管脚 1 和管脚 3 接通。k0 为计价器启动键 start,k1 为清零键 clr,k2 为等待信号,k3 为公里脉冲,k4、k5 为分钟和小时的调节按键。数码管显示驱动电路如图 3.5。通过三极管 9012 对基极电流的放大使数码管a、b、c、d、e、f、g 各个显示段点亮,各个显示段则由 cx9572 输

51、出不同的数值码,来组成不同数字。led 的工作电流在 810 毫安,通过计算 r131 选择 1k 电阻排。基于 cpld 的出租车自动计价系统的设计 18123456abcd654321dcbatitlenumberrevisionsizebdate:19-jun-2006sheet of file:h:sheet2.ddbdrawn by:io1io2io3io4io/gck15io/gck26io/gck37io8io9io35io36io37io38io/gsr39io/gts240io/gts142io43io44io11io12io13io14io18io19io20io22io2

52、4io25io26io27io28io29io33io34tck17tdi15tms16tdo30vccint21gnd10u?xc9572-7pc44c(44)12345671098sm212345671098sm312345671098sm412345671098sm5eeeeddddt2t3t4t5ccccggggfffft2t3t4t5aaaabbbb12345671098sm612345671098sm712345671098sm812345671098sm912345671098sm1r1311kr1331kr1351kr1371kr1391kr1411kr1431kpnp1pnp

53、2pnp3pnp4pnp5pnp6pnp7bg1019012bg1029012bg1039012bg1049012bg1059012bg1069012bg1079012t4t5t6t7bg1099013bg1109013bg1119013bg1129013r1091kr1101kr1111kr1121ka4a5a6a7b7r1311kr1311kr1311kr1311kr1311kr1311kr1311kabcdefgvcck55k44k33k22k00k11keyh2keyh1keyh0r510kr410kvcc1gnd2tck3tdo4tdi5tms6j3xjtaggndtcktdotdi

54、tmsvccnc1gnd2vcc4out3u5240hzvccvcctmstdotditckvcct3bg1129013r1121ka3t2bg1129013r1121ka2t9bg1129013r1121ka9t1bg1129013r1121ka1t8bg1129013r1121ka8gft1abedt1cgft6abedt6cedt7cedt8cedt9cbat9fgbat8fgbat7fg图 3.5 数码管段显示驱动电路位选信号驱动电路如图 3.6。123456abcd654321dcbatitlenumberrevisionsizebdate:15-jun-2006sheet of f

55、ile:c:documents and settingshuang桌桌sheet2.ddbdrawn by:t4bg1129013r1121ka4图 3.6 数码管位选驱动电路(部分)位选信号由 a4 进入,经 9013 放大电路放大,点亮对应的数码管。也由 cx9572的 i/o 输出的不同数值来循环扫描点亮数码管。数码管采用共阴极,因此当 i/o 输出为高电平时数码管点亮。i/o基于 cpld 的出租车自动计价系统的设计 193.3系统软件设计系统软件总体设计包括四大模块,即分频模块、控制模块、计量模块(含电子钟)和译码显示模块。分频模块对输入脉冲进行分频,得到频率为 15hz、10hz

56、和 1hz 三种频率时钟信号。控制模块是系统的核心部分,对计价器的状态进行控制。计量模块完成钟表计时,出租车计价、计时、计程功能。动态扫描/译码显示模块完成电子钟、计费、计时、计程数据显示。程序设计时将电子钟显示、里程和等待时间显示、计费显示模块的动态扫描功能独立出来,单独设计一个共用的扫描模块,因此将电子钟显示、里程和等待时间显示、计费显示模块各自都分为计数模块和扫描两个模块。3.3.1电子钟程序设计电子钟原理图如图 3.7 所示。电子钟端口信号图见图 3.8。f1 为系统分频模块产生的 1s 时钟信号。秒计数器分计数器时计数器数码管显示led 数码管位选择信号 scanclk秒个位秒十位分

57、个位分十位时个位时十位图 3.7 电子钟原理图m_addh_addf1基于 cpld 的出租车自动计价系统的设计 20h_add 信号为小时调整按键输入信号。h_add 信号有效时,小时数字加 1。m_add 信号为分钟调整按键输入信号。m_add 信号有效时,分钟数字加 1。clr 信号为电子钟清 0 使能信号。f1scanclkclrh_addm_adds1h0f1scanclkclrh_addm_addm0m1图 3.8 电子钟端口信号s0h1s0s1m0m1h0h1scanclk 信号为 led 数码管的动态扫描信号。电子钟程序设计时主要是 1 秒脉冲的累加,分别设秒低位、秒高位、分低

58、位、分高位、小时低位和小时高位,我们定义 6 个 4 位的信号分别代表电子钟的各位:s0、s1、m0、m1、h0 和 h1。当 s0 计到 9 时则 s1 加 1,当 s0 和 s1 分别为 9 和 5 时,则 m0 加 1,同时 s0、s1 清 0。分钟和小时位也是同样的道理,当 m0 计到 9 时则 m1 加1,当 m0 和 m1 分别为 9 和 5 时,则 h0 加 1,同时 m0、m1 清 0。小时位在累加到 12 时则清 0,在累加时同时还要判断调节信号(h_add、m_add)是否为 0,不为 0 时则为电子钟调节,调节信号每来一个上升沿,对应的分或小时加 1。电子钟程序框图见图

59、3.9 所示。3.3.2计价部分程序设计系统分频模块产生 15hz、10hz 和 1hz 三种频率时钟信号。见系统仿真图。整个计费过程可以归总到一个流程图中,设定 k0 为计价器启动 start 键。租车在载客期间的行使状态,k0 判断载客的开始与结束。k2 为等待信号 stop_wait,k2 为 1为等待状态,为 0 为行使状态。用 k0 判断出当开始工作时,计费器要循环判断是否有传感器脉冲和等待信号,当一直有传感器脉冲时计费器要累加脉冲数当 100 米时发送基于 cpld 的出租车自动计价系统的设计 21一个里程信号,同时里程信号也要不断累加,当累加到 1 公里时,显示的里程和金额同时加

60、f1 上升沿秒信号累加s0=9?s1+1 且 s0 清 0m0=9?m1+1 且 m0 清 0m1&m0=59 且 s1&s0=59?h0+1,m1,m0 清 0h0=9 ?h1+1,h0 清 0m_add 信号输入m_add=1?分钟加 1图 3.9 电子钟程序框图ynny y nyn是否为 12时 59 分 59秒h1&h0 清 0s1&s0=59?m0+1 且 s1&s0 清 0ynyn分钟位清 0是否为 59上相应的金额。当判断到有等待信号时,开始途中等待计价模式,开始等待时间计时。等待计价部分也可以设不同等待单价,小于 1.5 公里时和大于 1.5 公里时可以有不同的等待单价。我

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论