基于FPGA多通道采样系统设计_第1页
基于FPGA多通道采样系统设计_第2页
基于FPGA多通道采样系统设计_第3页
基于FPGA多通道采样系统设计_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、    基于fpga多通道采样系统设计    杨永超摘  要:本论文介绍了基于fpga的多通道采样系统的设计。用fpga设计一个多通道采样控制器,利用vhdl语言设计有限状态机来实现对ad7892的控制。由于fpga器件的特性是可以實现高速工作,为此模拟信号选用音频信号。由于音频信号的频率是20hz-20khz,这样就对ad转换的速率有很高的要求.因为fpga的功能很强大,所以我们把系统的许多功能都集成到fpga器件中,例如ad通道选择部分,串并输出控制模块,这样使得整个系统的外围电路简单、系统的稳定性强。fpga的配置模式选用被动串行模式,这

2、样就增强了系统的可扩展性。关键词:音频放大;滤波器;fpga;vhdl;ad7892;1.引言fpga(field-programmable gate array 现场可编程门阵列)是近年来广泛应用的超大规模、超高速的可编程逻辑器件,由于其具有高集成度(单片集成的系统门数达上千万门)、高速(200mhz以上)、在线系统可编程等优点,为数字系统的设计带来了突破性变革,大大推动了数字系统设计的单片化、自动化,提高了单片数字系统的设计周期、设计灵活性和可靠性。在超高速信号处理和实时测控方面有非常广泛的应用。硬件描述语言hdl是一种用形式化方法描述数字电路和系统的语言。vhdl是硬件描述语言的几种代表

3、性语言的一种。vhdl(very high speed integrated circuit hardware description language 即超高速集成电路硬件描述语言)主要用于描述数字系统的结构、行为、功能和接口,与其它的硬件描述语言相比,vhdl具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。用vhdl设计的程序,通过综合工具产生网表文件,下载到目标器件,从而生成硬件电路。2.方案设计用fpga实现一个3位8进制的计数器,记数脉冲是fpga锁存ad转换数据的锁存信号,计数器的输出作为数据开关cd4051的地址。用有限状态机来实现对ad7892的控制,这

4、样电路实现比较简单,而且ad7892的采样速率可以达到500khz,可以实现8个通道同时8路音频信号采集。同时采用fifo模块,让数字数据先存到队列中,一边往队列中写数据,一边从队列中读数据,这样就对数字数据起了一个高速缓存的作用,更加快了整个系统的运行速度。3.单元电路的设计由图2.1可以看出,系统可以分为4个大部分,分别是音频放大、滤波部分,fpga控制部分,ad采样电路,fpga硬件电路的设计。其中以fpga控制部分为主体部分,它涉及到ad采样控制,通道选择控制,串并输出模式选择模块,延时模块以及fifo模块介绍。这些模块的实现是系统实现的关键。下面分别对系统的这些单元电路做详细的阐述。

5、3.1 音频放大、滤波部分人的耳朵可以辨别到的声音频率范围是:20hz-20khz,所以对音频放大部分的放大器有带宽要求,要求放大器是宽频带的。所以本次设计选用专门用于音频放大的高速低噪声运放ne5532作为放大部分的放大器。滤波部分是一个有源带通滤波器,滤掉电路的20hz以下的低频和20khz以上的高频干扰。3.2 ad采样电路由于人的耳朵能识别的音频的频率范围是20hz-20khz,根据奈魁斯特(nyquist)采样定理知道,要使采样后的数字信号能恢复成模拟信号,采样的频率必须是模拟信号的频率的两倍,即本次采样系统的采样频率最低要设计在40khz,而一般cd格式的音频信号的采样频率是44.

6、1khz,由于是8路通道的采样,所以ad芯片的最低采样频率应该是44.1khz8=352.8khz,所以选择采样频率为500khz的ad7892。这样我们每个通道的采样频率就是500khz/8=62.5khz。3.4 fpga的硬件设计本次设计选用的fpga芯片是altera公司的acex1k系列的ep1k30tc144-3。由于它的高密度和易于在设计中实现复杂宏函数和存储器,因此可以把一个子系统集成在单一芯片上,ep1k30包括一个嵌入式阵列,这为设计人员提供了有效的嵌入式门阵列和灵活的可编程逻辑。嵌入式阵列是由一系列嵌入式阵列块(eab)组成的,它能够用来实现各种存储器和复杂逻辑功能;该器

7、件也提供多电压i/o接口操作。它允许器件桥架在不同电压工作的系统中。比如本次系统设计器件的i/o输出就是2.5v,这样不但使fpga芯片工作安全,也可以让ad7892能工作安全状态。4 软件介绍在实验中主要使用了max+plus、ewb、以及protel99se三种软件,其中,max plus主要完成对fpga芯片的编程,仿真,芯片引脚锁定以及编程在线配置等操作,ewb主要用于对放大、滤波电路作分析与设计,并以分析为主,尤其是ewb能提供一个虚拟的实验室,可以对电路和系统进行十分逼真的模拟;protel99se则是整个电路设计pcb的重要工具。现分别对这三种软件进行介绍,其中重点介绍使用max

8、+plus的常用基本设计方法。5  整机调试在硬件电路中用到了音频放大、滤波电路,电源稳压电路,ad采样电路,fpga控制等主要部分,由于电路连线较多而且复杂,采用的方法是分块调试,这样比较容易发现问题和解决问题。这种硬件调试方法在实际应用是比较普遍的。在进行硬件调试之前,首先要检查电路板,看看电路板上的线路是否有短路、虚焊或者是断路的情况,如果有则要修正它,如果没有就可以进行各个模块的调试。6调试注意事项在调试音频放大部分的时候,话筒和电路板的连接线要选用屏蔽线,这样用助于屏蔽周围的干扰信号,普通的电线无法做到这一点。由于fpga芯片引脚过多,而且电路相对复杂,所以在硬件电路的功能

9、调试之前,需要对硬件电路的检查和调试。特别是对fpga芯片的电源的检查,电源电压的过高,芯片就会烧坏;电压过低,芯片就工作不起来。设计把ad部分和fpga芯片分到了两块板子上,而且fpga芯片电源是由lm317稳压块提供,所以先在ad模块加上+5v电源,用万用表测得lm317的输出电压是否是2.5v,这样就起到保护fpga芯片的作用。7  结论本次设计采用fpga实现采样系统,从而系统具有高速、稳定、低功耗等特点,音频模拟信号经放大器ne5532放大后,经过8路选择后,进入滤波器进行滤波,滤掉高频干扰信号和低频干扰信号的干扰,然后进入ad7892进行ad采样,采样后的12位数据通过一个fifo队列存储,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论