第3章 总线接口技术介绍_第1页
第3章 总线接口技术介绍_第2页
第3章 总线接口技术介绍_第3页
第3章 总线接口技术介绍_第4页
第3章 总线接口技术介绍_第5页
已阅读5页,还剩78页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、工业通信网络技术和应用工业通信网络技术和应用现场总线现场总线主讲:莫太平主讲:莫太平2 2 前置课程:数据通信基础、计算机网络等前置课程:数据通信基础、计算机网络等 教材教材: :许勇许勇- -工业通信技术工业通信技术- -原理与应用,西安电子原理与应用,西安电子科技大学出版社,科技大学出版社,20122012 参考教材参考教材: :许勇许勇- -工业通信技术工业通信技术- -原理与应用,中国原理与应用,中国电力出版社,电力出版社,2008.92008.9 参考教材:阳宪惠参考教材:阳宪惠- -网络化控制系统网络化控制系统- -现场总线技现场总线技术,清华大学出版社,术,清华大学出版社,200

2、9.52009.5 教师教师: 许勇许勇 Email: Email: Telephone: 229-1208Telephone: 229-1208教材及参考资料教材及参考资料3 3主要教学内容和学时分配主要教学内容和学时分配第第1 1章章 工业通信概论工业通信概论第第2 2章章 数据通信原理及基础数据通信原理及基础第第3 3章章 总线接口技术介绍总线接口技术介绍第第4 4章章 工控机与测控仪器接口总线工控机与测控仪器接口总线第第5 5章章 工业通信常用技术工业通信常用技术第第6 6章章 常用的工业现场总线常用的工业现场总线第第7 7章

3、章 CAN BusCAN Bus和汽车网络和汽车网络第第8 8章章 工业以太网技术及应用工业以太网技术及应用4 43.1 3.1 总线概述总线概述总线总线是将计算机系统中各部件连接起来的信息传信息传输通道输通道,通过总线可以传输数据信息、地址信息、各种控制命令和状态信息。(计算机系统角度)从通信系统来说,总线是各个应用系统之间进行信息传输的通道。优点:简化通信电路;减少各部件之间的连接线;可以统一标准,实现不同设备或部件之间的互连;简化系统设计5 53.1 3.1 总线概述总线概述6 63.1 3.1 总线概述总线概述总线的作用总线的作用1、各部件之间关系转化为面向总线的单一关系。2、标准总线

4、可以得到多个厂商的支持,便于生产与之兼容的软硬件。3、便于系统扩充和升级。4、便于故障诊断和维护。总线标准规范总线标准规范 7 7信息传送方向:信息传送方向:单向总线和双向总线。传送信息类型:传送信息类型:数据总线、地址总线和控制总线。所处位置:所处位置:内部总线、系统总线、外部总线。 总线分类总线分类内部总线:处理器总线和存储器总线。内部总线8 8系统总线:系统总线:各模板和系统板之间的总线,也称内部总线。 Multibus总线、STD总线、VME总线、PC总线板卡与主板之间连接 ISA、EISA、VESA、PCI、AGP外部总线:计算机与计算机之间、计算机与外部仪器或设备之间连接通信的总线

5、。传输信息:串行传送、并行传送、分时传送。 总线分类总线分类9 9总线组成及性能指标总线组成及性能指标数据传输总线:数据传输总线:地址总线、数据总线、控制总线。仲裁总线:传送控制信号和状态信号的总线,总线请求线和总线授权线;中断和同步总线:中断请求线和中断认可线。共用线:时钟信号、电源和地线等。总线性能:1、带宽MB/s2、时钟3、宽度4、信号线数5、负载能力总线连接: 1、单总线,一条总线连 接CPU、内存和I/O。 2、双总线,增加一条连接CPU和内存。 3、三总线,多条总线连接。1010总线组成及性能指标总线组成及性能指标1111总线工作过程总线工作过程 总线请求总线请求总线仲裁总线仲裁

6、寻址寻址传送数据传送数据检错和出错检错和出错处理处理结束阶段结束阶段 总线时序总线时序1、指令周期:读取指令、译码及执行等所需时间、指令周期:读取指令、译码及执行等所需时间2、总线周期:、总线周期:CPU与存储器或与存储器或IO口交换信息,口交换信息, CPU完完成一次访问存储器或成一次访问存储器或I/O口所需时间,一个指令周期由口所需时间,一个指令周期由几个总线周期组成。几个总线周期组成。3、时钟周期:、时钟周期:CPU执行指令的基本时间计量单位。执行指令的基本时间计量单位。1212总线工作过程总线工作过程 1313常用总线介绍常用总线介绍PCI总线系统1414常用总线介绍常用总线介绍USB

7、总线系统1515通用串行总线通用串行总线USBUSB USBUSB是一种新型的外设接口标准。是一种新型的外设接口标准。 USBUSB用一个用一个4 4针的插头作为标准插头,把不同的针的插头作为标准插头,把不同的接口统一起来。接口统一起来。 USBUSB接口允许两种传送速度,接口允许两种传送速度,1.51.5MB/sMB/s为低速,为低速, 12 12MB/sMB/s为高速。为高速。 USBUSB支持热插拔和即插即用。支持热插拔和即插即用。 越来越多的外设都支持越来越多的外设都支持USBUSB接口。接口。USBUSB可连接的可连接的外设数目多达外设数目多达127127个。个。 USBUSB接口有

8、同步、中断、批量三种数据传送模接口有同步、中断、批量三种数据传送模式。式。1616I2C串行总线概述串行总线概述 I2C总线,是INTER-IC串行总线的缩写。INTER-IC意思是用于相互作用的集成电路,这种集成电路主要由双向串行时钟线SCL和双向串行数据线SDA两条线路组成。 I2C总线是PHLIPS公司推出的一种串行总线,是具备多主机系统所需的包括总线裁决和高低速器件同步功能的高性能串行总线。 I2C总线只有两根双向信号线。一根是数据线SDA,另一根是时钟线SCL。1717 I2C总线通过上拉电阻接正电源。当总线通过上拉电阻接正电源。当总线空闲时,两根线总线空闲时,两根线均为高电平均为高

9、电平。连到总线上的任一器件输出的低电平,都将使总。连到总线上的任一器件输出的低电平,都将使总线的信号变低,即各器件的线的信号变低,即各器件的SDA及及SCL都是都是线线“与与”关系。关系。I2C串行总线概述串行总线概述1818 每个接到每个接到I2C总线上的器件都有唯一的地址。主机与其总线上的器件都有唯一的地址。主机与其它器件间的数据传送可以是它器件间的数据传送可以是由主机发送数据到其它器件由主机发送数据到其它器件,这,这时时主机主机即为即为发送器发送器。由总线上。由总线上接收数据的器件接收数据的器件则为则为接收器接收器。 在多主机系统中,可能同时有几个主机企图启动总线传送数据。为了避免混乱,

10、 I2C总线要通过总线仲裁,以决定由哪一台主机控制总线。 在80C51单片机应用系统的串行总线扩展中,我们经常遇到的是以80C51单片机为主机,其它接口器件为从机的单主机情况。 主机:初始化发送、产生时钟信号和终止发送的器件,它可以是发送器或接收器。主机通常是微处理器。从机:被主机寻址的器件,它可以是发送器或接收器, I2C串行总线概述串行总线概述1919一、数据位的有效性规定一、数据位的有效性规定 I2C总线进行数据传送时,总线进行数据传送时,时钟信号为高电平期间,数时钟信号为高电平期间,数据线上的数据必须保持稳定据线上的数据必须保持稳定,只有在时钟线上的信号为低只有在时钟线上的信号为低电平

11、期间,数据线上的高电平或低电平状态才允许变化电平期间,数据线上的高电平或低电平状态才允许变化。I2C总线的数据传送总线的数据传送2020二、起始和终止信号二、起始和终止信号 SCL线为高电平期间,SDA线由高电平向低电平的变化表示起始信号;SCL线为高电平期间,SDA线由低电平向高电平的变化表示终止信号。 I2C总线的数据传送总线的数据传送 I2C总线中唯一违反上述数据有效性的是被定义为起始(S)和停止(P)条件。 2121起始和终止信号都是由主机发出的。起始和终止信号都是由主机发出的。在起始信号产生后,总线就处于被占用的状态在起始信号产生后,总线就处于被占用的状态;在终止信号产生后,总线就处

12、于空闲状态。在终止信号产生后,总线就处于空闲状态。连接到I2C总线上的器件若具有I2C总线的硬件接口,则很容易检测到起始和终止信号。 对于不具备I2C总线硬件接口的有些单片机来说,为了检测起始和终止信号,必须保证在每个时钟周期内对数据线SDA采样两次。 I2C总线的数据传送总线的数据传送2222 接收器件收到一个完整的数据字节后,有可能需要完成一些其它工作,如处理内部中断服务等,可能无法立刻接收下一个字节,这时接收器件可以将SCL线拉成低电平,从而使主机处于等待状态。直到接收器件准备好接收下一个字节时,再释放SCL线使之为高电平,从而使数据传送可以继续进行。 I2C总线的数据传送总线的数据传送

13、2323三、数据传送格式三、数据传送格式(1)字节传送与应答)字节传送与应答每一个字节必须保证是8位长度。数据传送时,先传送最高位(MSB),每一个被传送的字节后面都必须跟随一位应答位(即一帧共有9位)。 I2C总线的数据传送总线的数据传送2424由于某种原因从机由于某种原因从机不不对主机寻址信号对主机寻址信号应答应答时(如从机正在时(如从机正在进行实时性的处理工作而无法接收总线上的数据),它进行实时性的处理工作而无法接收总线上的数据),它必必须将数据线置于高电平须将数据线置于高电平,而由,而由主机产生一个终止信号以结主机产生一个终止信号以结束总线的数据传送束总线的数据传送。如果从机对主机进行

14、了应答,但在数据传送一段时间后无法继续接收更多的数据时,从机可以通过对无法接收的第一个数据字节的“非应答”通知主机,主机则应发出终止信号以结束数据的继续传送。当主机接收数据时,它收到最后一个数据字节后,必须向从机发出一个结束传送的信号。这个信号是由对从机的“非应答”来实现的。然后,从机释放SDA线,以允许主机产生终止信号。I2C总线的数据传送总线的数据传送2525(2)数据帧格式)数据帧格式 I2C总线上传送的数据信号是广义的,既包括总线上传送的数据信号是广义的,既包括地址信号地址信号,又包括真正的又包括真正的数据信号数据信号。每次数据传送总是由主机产生的终止信号结束。但是,若主机希望继续占用

15、总线进行新的数据传送,则可以不产生终止信号,马上再次发出起始信号对另一从机进行寻址。 在起始信号后必须传送一个从机的地址(7位);第8位是数据的传送方向位(R/W):用“0”表示主机发送数据(T),用“1”表示主机接收数据(R)。I2C总线的数据传送总线的数据传送2626A、主机向从机发送数据主机向从机发送数据,数据,数据传送方向传送方向在整个传送过程在整个传送过程中中不变不变。有阴影部分表示数据由主机向从机传送,无阴影部分则表示数据由从机向主机传送。A表示应答,/A表示非应答(高电平)。S表示起始信号,P表示终止信号。 在总线的一次数据传送过程中,可以有以下几种组合方式:I2C总线的数据传送

16、总线的数据传送2727B、主机、主机在第一个字节在第一个字节(寻址字节寻址字节)后后,立即立即由从机读数据。由从机读数据。 在从机产生响应时,主机从发送变成接收,从机从接收变成发送。之后,数据由从机发送,主机接收,每个应答由主机产生,时钟信号仍由主机产生。若主机要终止本次传输,则发送一个非应答信号(A),接着主机产生停止条件。 C、在传送过程中,当需要改变传送方向时,起始信号和从机地址都被重复产生一次,但两次读/写方向位正好反相。I2C总线的数据传送总线的数据传送2828四、总线的寻址四、总线的寻址 I2C总线协议有明确的规定:采用7位的寻址字节(寻址字节是起始信号后的第一个字节)。 (1)寻

17、址字节的位定义 D7D1位组成从机的地址。D0位是数据传送方向位,为“0”时表示主机向从机写数据,为“1”时表示主机由从机读数据。I2C总线的数据传送总线的数据传送2929 如一个从机的7位寻址位有4位是固定位,3位是可编程位,这时仅能寻址8个同样的器件,即可以有8个同样的器件接入到该I2C总线系统中。 从机的地址由固定部分和可编程部分组成。在一个系统中可能希望接入多个相同的从机,从机地址中可编程部分决定了可接入总线该类器件的最大数目。I2C总线的数据传送总线的数据传送3030(2)寻址字节中的特殊地址)寻址字节中的特殊地址 固定地址编号固定地址编号0000和和1111已被保留作为特殊用途。已

18、被保留作为特殊用途。 I2C总线的数据传送总线的数据传送3131 起始信号后的第一字节的8位为“0000 0000”时,称为通用呼叫地址。通用呼叫地址的用意在第二字节中加以说明。格式为: 第二字节为 06H时,所有能响应通用呼叫地址的从机器件复位,并由硬件装入从机地址的可编程部分。能响应命令的从机器件复位时不拉低SDA和SCL线,以免堵塞总线。第二字节为 04H时,所有能响应通用呼叫地址并通过硬件来定义其可编程地址的从机器件将锁定地址中的可编程位,但不进行复位。I2C总线的数据传送总线的数据传送3232如果第二字节的方向位为“1”,则这两个字节命令称为硬件通用呼叫命令。在这第二字节的高7位说明

19、自己的地址。接在总线上的智能器件,如单片机或其他微处理器能识别这个地址,并与之传送数据。硬件主器件作为从机使用时,也用这个地址作为从机地址。格式为: 在系统中另一种选择可能是系统复位时硬件主机器件工作在从机接收器方式,这时由系统中的主机先告诉硬件主机器件数据应送往的从机器件地址,当硬件主机器件要发送数据时就可以直接向指定从机器件发送数据了。I2C总线的数据传送总线的数据传送3333(3)起始字节 不具备I2C总线接口的单片机,则必须通过软件不断地检测总线,以便及时地响应总线的请求。单片机的速度与硬件接口器件的速度就出现了较大的差别,为此,I2C总线上的数据传送要由一个较长的起始过程加以引导。

20、起始字节是提供给没有I2C总线接口的单片机查询I2C总线时使用的特殊字节。 I2C总线的数据传送总线的数据传送3434 引导过程由引导过程由起始信号、起始字节、应答位、重复起起始信号、起始字节、应答位、重复起始信号始信号(Sr)组成。)组成。请求访问总线的主机发出起始信号后,发送起始字节(0000 0001),另一个单片机可以用一个比较低的速率采样SDA线,直到检测到起始字节中的7个“0”中的一个为止。在检测到SDA线上的高电平后,单片机就可以用较高的采样速率,以便寻找作为同步信号使用的第二个起始信号Sr。在起始信号后的应答时钟脉冲仅仅是为了和总线所使用的格式一致,并不要求器件在这个脉冲期间作

21、应答。I2C总线的数据传送总线的数据传送3535 在多主的通信系统中。总线上有多个节点,它们都有自己的寻址地址,可以作为从节点被别的节点访问,同时它们都可以作为主节点向其它的节点发送控制字节和传送数据。但是如果有两个或两个以上的节点都向总线上发送启动信号并开始传送数据,这样就形成了冲突。要解决这种冲突,就要进行仲裁的判决,这就是I2C总线上的仲裁。 I2C总线上的仲裁分两部分:SCL线的同步和SDA线的仲裁。 I2C总线的数据传送总线的数据传送3636I2C总线仲裁与时钟发生总线仲裁与时钟发生 SCL线的同步(时钟同步) SCL同步是由于总线具有线“与”的逻辑功能,即只要有一个节点发送低电平时

22、,总线上就表现为低电平。由于线“与”逻辑功能的原理,当多个节点同时发送时钟信号时,在总线上表现的是统一的时钟信号。这就是SCL的同步原理。 当所有的节点都发送高电平时,总线才能表现为高电平。3737 SDA仲裁 SDA线的仲裁也是建立在总线具有线“与”逻辑功能的原理上的。 节点在发送1位数据后,比较总线上所呈现的数据与自己发送的是否一致。是,继续发送;否则,退出竞争。 SDA线的仲裁可以保证I2C总线系统在多个主节点同时企图控制总线时通信正常进行并且数据不丢失。总线系统通过仲裁只允许一个主节点可以继续占据总线。I2C总线仲裁与时钟发生总线仲裁与时钟发生3838仲裁过程DATA1和DATA2分别

23、是主节点向总线所发送的数据信号;SDA为总线上所呈现的数据信号,SCL是总线上所呈现的时钟信号。I2C总线仲裁与时钟发生总线仲裁与时钟发生3939仲裁过程当主节点1、2同时发送起始信号时,两个主节点都发送了高电平信号。这时总线上呈现的信号为高电平,两个主节点都检测到总线上的信号与自己发送的信号相同,继续发送数据。I2C总线仲裁与时钟发生总线仲裁与时钟发生4040仲裁过程第2个时钟周期,2个主节点都发送低电平信号,在总线上呈现的信号为低电平,仍继续发送数据。I2C总线仲裁与时钟发生总线仲裁与时钟发生4141仲裁过程在第3个时钟周期,主节点1发送高电平信号,而主节点2发送低电平信号。根据总线的线“

24、与”的逻辑功能,总线上的信号为低电平,这时主节点1检测到总线上的数据和自己所发送的数据不一样,就断开数据的输出级,转为从机接收状态。I2C总线仲裁与时钟发生总线仲裁与时钟发生4242仲裁过程这样主节点2就赢得了总线,而且数据没有丢失,即总线的数据与主节点2所发送的数据一样,而主节点1在转为从节点后继续接收数据,同样也没有丢掉SDA线上的数据。因此在仲裁过程中数据没有丢失。I2C总线仲裁与时钟发生总线仲裁与时钟发生4343总结:SDA仲裁和SCL时钟同步处理过程没有先后关系,而是同时进行的。仲裁过程I2C总线仲裁与时钟发生总线仲裁与时钟发生4444SPISPI总线总线是串行外围设备接口,是一种高

25、速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线.RSPI的通信原理很简单,它以主从方式工作,通常有一个主设备和一个或多个从设备,需要至少4根线。RSDO 主设备数据输出,从设备数据输入RSDI 主设备数据输入,从设备数据输出RSCLK 用来为数据通信提供同步时钟信号,由主设备产生RCS 从设备使能信号,由主设备控制RSPI接口是全双工、同步、串口、单主机。454545 SPISPI从机从机从主机获得时钟和片选信号,因此从主机获得时钟和片选信号,因此cscs和和sclksclk都是输都是输入信号。入信号。 SPISPI接口在内部硬件实际上是个简单的移位寄存器接口在内部硬件实际上是个

26、简单的移位寄存器, ,传输的传输的数据为数据为8 8位位, ,在主器件产生的从器件使能信号和移位脉冲下在主器件产生的从器件使能信号和移位脉冲下, ,按位传输按位传输, ,高位在前高位在前, ,低位在后低位在后。 SPISPI从机的内部结构从机的内部结构4646时钟发生器 主器件MOSI 从器件MISONSSSCK SPI总线采用3线制全双工串行通信。7 6 5 4 3 2 1 07 6 5 4 3 2 1 0MSB bit6 bit5 bit4 bit3 bit2 bit1 LSBSCKMISO/MOSINSSSPISPI总线总线4747SPISPI总线总线寻址:MOSI:When maste

27、r, out line; when slave, in lineMISO:When master, in line; when slave, out line4848SPISPI从机的内部结构从机的内部结构SPI接口实际上是两个简单的移位寄存器,传输的数据为8位,在主器件产生的从器件使能信号和移位脉冲下,按位传输,高位在前,低位在后。 上升沿发送,下降沿接收。(有的器件是上升沿接收,下降沿发送)D7.D0D0.D74949 R在SPI传输中,数据是同步进行发送和接收的。R数据传输的时钟基于来自主处理器的时钟脉冲,R当SPI接口上有多个SPI接口的单片机时,应区别其主从地位,在某一时刻只能由一个

28、单片机为主器件。R从器件只能在主机发命令时,才能接收或向主机传送数据。R其数据的传输格式是高位(MSB)在前,低位(LSB)在RSPI接口的一个缺点:没有应答机制确认是否接收到数据。R如果只是进行写操作,主机只需忽略收到的字节;反过来,如果主机要读取外设的一个字节,就必须发送一个空字节来引发从机的传输。SPISPI总线总线505050添加从器件:每个从器件需要一个单独的从选择信号。总信号数最终为 n+3 个,其中 n 是总线上从器件的数量。在 SPI 总线上添加新的从器件也不方便。对于额外添加的每个从器件,都需要一条新的从器件选择线SPISPI总线总线515151 R一个完整的传送周期是16位

29、,即两个字节,因为,首先主机要发送命令过去,然后从机根据主机的命令准备数据,主机在下一个8位时钟周期才把数据读回来。 SPISPI总线总线5252SPISPI总线的硬件接口电路总线的硬件接口电路 5353SPISPI接口的串行时钟芯片接口的串行时钟芯片DS1302DS1302 实时时钟,可对秒、分、时、日、周、月以及实时时钟,可对秒、分、时、日、周、月以及带闰年补带闰年补偿偿的年进行计数;的年进行计数; 用于高速数据暂存的用于高速数据暂存的31318 RAM8 RAM; 简单的简单的SPISPI三线串口;三线串口; /RST/RST引脚引脚:复位兼片选,读:复位兼片选,读/ /写操作时写操作时

30、必须为高电平必须为高电平。5454单片机与单片机与DS1302DS1302的接口的接口5555DS1302DS1302的内部结构的内部结构5656DS1302DS1302的工作原理的工作原理 单片机开始数据传送时,必须将单片机开始数据传送时,必须将DS1302DS1302的的/RST/RST置高,且把包置高,且把包含有地址和命令信息的含有地址和命令信息的8 8位数据发送给位数据发送给DS1302.DS1302. 数据在数据在SCLKSCLK的的上升沿入,下降沿串行出上升沿入,下降沿串行出; 单片机对单片机对DS1302DS1302的读的读/ /写是命令字来初始化的。命令字格式写是命令字来初始化

31、的。命令字格式:l命令字节的D7必须为1,若D7=0,写保护;lD6=0,表示存取日历时钟数据;D6=1,表示存取RAM数据.lD5D1指示操作单元的地址;lD0=0,表示写;D0=1,表示读;5757DS1302DS1302的寄存器的寄存器58585959数据在SCLK的上升沿入,下降沿串行出;单字节操作单字节操作:每次对:每次对DS1302DS1302的写入或读出都由的写入或读出都由命令字节引导命令字节引导,每次只,每次只传送传送1 1字节数据。字节数据。单字节写操作:单字节写操作:单片机通过单片机通过8 8个个sclksclk下降沿传送写命令字节后,在接下下降沿传送写命令字节后,在接下来

32、的来的8 8个个sclksclk时钟的下降沿传送一字节数据。如果有时钟的下降沿传送一字节数据。如果有更多的更多的sclksclk周期周期,DS1302DS1302将会忽略。将会忽略。单字节读操作:单字节读操作:单字节读操作每次需单字节读操作每次需1616个时钟,地址字节在前个时钟,地址字节在前8 8个时钟个时钟周期的上周期的上升沿输入升沿输入,而数据字节在后,而数据字节在后8 8个时钟周期的个时钟周期的下降沿输出下降沿输出。. .DS1302DS1302输出的第一输出的第一位数据是在命令字节最后一位的第一个下降沿处,位数据是在命令字节最后一位的第一个下降沿处,如果有如果有更多的更多的sclks

33、clk周期周期,DS1302DS1302将重新发送数据字节。将重新发送数据字节。6060多字节操作多字节操作 多字节操作多字节操作:每次对:每次对DS1302DS1302的写入或读出都由命令字的写入或读出都由命令字节引导,然后对时钟寄存器的节引导,然后对时钟寄存器的7 7个寄存器或个寄存器或3131个个RAMRAM依依次按顺序写入次按顺序写入/ /读出。读出。 多字节写操作:多字节写操作:单片机通过单片机通过8 8个个sclksclk下降沿传送命令下降沿传送命令字节后,在接下来的字节后,在接下来的8 8个个sclksclk时钟的下降沿传送一字时钟的下降沿传送一字节数据节数据, ,以次类推。以次

34、类推。 多字节读操作:多字节读操作:单片机通过单片机通过8 8个个sclksclk下降沿传送命下降沿传送命令字节后,在紧接着的的令字节后,在紧接着的的8 8个个sclksclk时钟的下降沿时钟的下降沿DS1302DS1302传送一字节数据传送一字节数据, ,以次类推。以次类推。6161特殊寄存器特殊寄存器 在在DS1302DS1302的的时钟日历时钟日历或或RAMRAM进行进行数据传送数据传送时,时,DS1302DS1302必须必须首首先发送命令字节先发送命令字节。若进行单字节传送,。若进行单字节传送,8 8位命令字节传送结位命令字节传送结束之后,在下束之后,在下8 8个个SCLKSCLK周期

35、的下降沿输出数据字节。周期的下降沿输出数据字节。 DS1302DS1302与与RAMRAM相关的寄存器分为两类相关的寄存器分为两类: :一类是单个一类是单个RAMRAM单元,单元,共共3131个,每个单元组态为一个个,每个单元组态为一个8 8位的字节,其命令控制字为位的字节,其命令控制字为C0HC0HFDHFDH,其中奇数为读操作,偶数为写操作;,其中奇数为读操作,偶数为写操作;再一类为突再一类为突发方式下的发方式下的RAMRAM寄存器,在此方式下可一次性读、写所有的寄存器,在此方式下可一次性读、写所有的RAMRAM的的3131个字节。命令字为个字节。命令字为BEHBEH和和BFH BFH 写

36、保护寄存器:写保护寄存器:写保护寄存器写保护寄存器命令字命令字D7 D6 D5 D4 D3 D2 D1 D08EH或8FHWP 0 0 0 ,0 0 0 06262RAM单元单元命令字命令字D7 RAM/CK D5 D4 D3 D2 D1 R/W0单元单元C0H或或C1H1 100,000R/W1单元单元C2H或或C3H1 100,001R/W2单元单元C4H或或C5H1 100,010R/W34.31单元单元C6H或或C7H.C8H或或C9H.FCH或或FDH.1 100,011R/W1 100,100R/W.11 11,110R/W6363程序举例:初始化日历寄存器程序举例:初始化日历寄存

37、器片内片内RAM说明说明68H(秒秒)0101,00105267H(分分)0011,01003466H(时)(时)1965H(日)(日)0164H(星期)(星期)周三周三63H(月)(月)1062H(年)(年)1161H(写保护寄存器)(写保护寄存器)00h646464单片机写一字节到单片机写一字节到DS1302DS1302:上升沿入,下降沿出:上升沿入,下降沿出WBYTE:(WBYTE:(单片机将单片机将A A中数据发送给中数据发送给DS1302)DS1302) MOV R1,#08H MOV R1,#08H SETB SCLK SETB SCLK NOP NOPLOOP1: CLR SCL

38、KLOOP1: CLR SCLK RRC A; RRC A;低位在前低位在前 MOV I/O,C MOV I/O,C;先把数据准备好,再上升沿;先把数据准备好,再上升沿 SETB SCLK SETB SCLK;上升沿入到上升沿入到DS1302DS1302 DJNE R1,LOOP1 DJNE R1,LOOP1 RET RET6565DS1302DS1302的寄存器的寄存器6666多字节写模式多字节写模式MOV 68H,#52H;MOV 68H,#52H;把数据事先存到把数据事先存到68H61H68H61HMOV 67H,#34HMOV 67H,#34HMOV 66H,#19HMOV 66H,#

39、19HMOV 65H,#01HMOV 65H,#01HMOV 64H,#03HMOV 64H,#03HMOV 63H,#10HMOV 63H,#10HMOV 62H,#11HMOV 62H,#11HMOV 61H,#00HMOV 61H,#00HWRCR: WRCR: CLR SCLK CLR SCLK SETB /RST SETB /RST MOV A, #8EH MOV A, #8EH; ;写写保护寄存器保护寄存器的命令字的命令字 LCALL WBYTE LCALL WBYTE MOV A, #00H MOV A, #00H; ;写写保护寄存器保护寄存器的内容的内容 LCALL WBYTE

40、 LCALL WBYTE CLR /RST CLR /RST;中止传送;中止传送 6767多字节写模式多字节写模式 CLR SCLK CLR SCLK SETB /RSTSETB /RST MOV A ,#BEH; MOV A ,#BEH;多字节写命令多字节写命令 LCALL WBYTE LCALL WBYTE MOV R0,#68H MOV R0,#68H MOV R2,#08H MOV R2,#08H LOOP: MOV A,R0 LOOP: MOV A,R0 LCALL WBYTE LCALL WBYTE DEC R0 DEC R0 DJNE R2,LOOP DJNE R2,LOOP C

41、LR SCLKCLR SCLK CLR /RST; CLR /RST;停止传送停止传送 RET RET 6868单片机单字节读单片机单字节读: :上升沿入,下降沿出上升沿入,下降沿出RBYTE: MOV R1,#08HRBYTE: MOV R1,#08H MOV A,#00H MOV A,#00H MOV R0,A MOV R0,A SETB SCLK SETB SCLK NOP NOPLOOP2: CLR SCLK;LOOP2: CLR SCLK;下降沿输出下降沿输出 MOV A,R0 MOV A,R0 MOV C,I/O MOV C,I/O RRC A RRC A MOV R0,A MOV

42、 R0,A SETB SCLK SETB SCLK DJNE R1,LOOP2 DJNE R1,LOOP2 RET RET 6969多字节多字节读模式读模式 将时钟日历寄存器的秒、分、时、日、星期、月、年以及写保护寄存器的内容分别存入单片机的将时钟日历寄存器的秒、分、时、日、星期、月、年以及写保护寄存器的内容分别存入单片机的6FH6FH68H68H单元单元RDCRRDCR:CLR SCLKCLR SCLK SETB /RST SETB /RST MOV A,#0BFH; MOV A,#0BFH;多字节读命令多字节读命令 LCALL WBYTE LCALL WBYTE MOV R0,#6FH M

43、OV R0,#6FH MOV R2,#08H MOV R2,#08H LOOPR: LOOPR: LCALL RBYTE LCALL RBYTE MOV R0,A MOV R0,A DEC R0 DEC R0 DJNE R2,LOOPR DJNE R2,LOOPR CLR SCLK CLR SCLK CLR /RST; CLR /RST;停止传送停止传送 RET RET 7070UARTUART是一种通用串行数据总线。是一种通用串行数据总线。UART/SCIUART/SCI总线总线串行、并行(串行、并行(Serial/parallel)同步、异步(同步、异步(Synchronous/async

44、hronous)点对点、总线(点对点、总线(Point-to-point/bus)半双工、全双工(半双工、全双工(Half-duplex/full-duplex)主从式、对等式(主从式、对等式(Master-slave/equal partners)单端信号、差分信号(单端信号、差分信号(Single-ended/differential)7171SCISCI7272EIA RS-232-C美国电子工业协会正式公布的异步串行通信标准,也是目前最常用的美国电子工业协会正式公布的异步串行通信标准,也是目前最常用的异步串行通信标准,异步串行通信标准,用来实现计算机与计算机之间、计算机与外设之间的数据

45、通信用来实现计算机与计算机之间、计算机与外设之间的数据通信 RS-232-C电平采用负逻辑,即,逻辑电平采用负逻辑,即,逻辑1:-3-25V,逻辑逻辑0:+3+25V (需要电平转换)(需要电平转换)RS-232-C接口标准有接口标准有22根线,采用标准根线,采用标准25芯芯D型插头座;型插头座;PC上使用上使用简化的简化的9芯芯D型插座型插座 多种通信应答(握手)方式:多种通信应答(握手)方式: 硬件握手,自应答,硬件握手,自应答,XON/XOFF模式模式波特率:波特率: 300bps 4Mbps, 物理层有多种实现方式(铜缆,光缆,物理层有多种实现方式(铜缆,光缆,红外,无线,微波)红外,

46、无线,微波)起始位起始位/停止位停止位/数据位数据位/奇偶校验奇偶校验/全双工半双工模式全双工半双工模式广泛应用:广泛应用: Modem,20mA电流环,电流环,RS485个人计算机都有标准的个人计算机都有标准的RS232接口,驱动程序,超级终端接口,驱动程序,超级终端SCISCI7373RS232 standardRS232 standard7474 简化的简化的9 9芯芯D D型接头信号定义(硬件流量控制)型接头信号定义(硬件流量控制) DTE DTE DCE DCE 3 TxD out TxD 3 TxD out TxD Transmit DataTransmit Data2 RxD i

47、n RxD 2 RxD in RxD Receive DataReceive Data5 GND GND 5 GND GND 7 RTS out RTS 7 RTS out RTS Require To SendRequire To Send8 CTS in CTS 8 CTS in CTS Clear To SendClear To Send6 DSR in DSR 6 DSR in DSR Data Set ReadyData Set Ready9 DCD in DCD 9 DCD in DCD Data Care DetectedData Care Detected4 DTR out D

48、TR 4 DTR out DTR Data Terminal ReadyData Terminal ReadyRS232 standardRS232 standard7575 简化的9芯D型接头信号定义(自应答方式) DTE / DCE 2 TxD out TxD (Transmit Data )3 RxD in RxD (Receive Data)7 GND GND (Ground)4 RTS out RTS (Require to Send)5 CTS in CTS (Clear to Send)6 DSR in DSR (Data Terminal Ready)8 DCD in DCD

49、(Data Carry Detected)20 DTR out DTR (Data Set Ready)RS232 standardRS232 standard7676简化的9芯D型接头信号定义(无应答方式) 1 protection ground2 RxD in RxD (Receive Data)3 TxD out TxD (Transmit Data )5 GND GND (Ground)6 DSR in DSR (Data Terminal Ready)7 RTS out RTS (Require to Send)8 CTS in CTS (Clear to Send)9 DCD in

50、 DCD (Data Carrier Detected) 4 DTR out DTR (Data Set Ready)RS232 standardRS232 standard7777微控制器常见的通信类型微控制器常见的通信类型总线类型总线类型线数线数通信类型通信类型多主多主波特率(波特率(bps)器件数器件数目目总线长度总线长度UART2异步异步No3K-1M2*SPI3同步同步Yes1M-10M10板内板内I2C2同步同步Yes1M10板内板内CAN2异步异步Yes20K-1M128百米公百米公里里LIN1异步异步No20K16几十米几十米7878 PCPC总线总线 PCPC总线是总线是PCPC机和机和XTXT机采用的总线标准。机采用的总线标准。 PCPC总线用于总线用于8 8位数据传送。位数据传送。 PCPC总线的最大通信速率为总线的最大通信速率为5MB/s5MB/s。 PCPC总线有总线有6262根引脚,可以插入符合根引脚,可以插入符合PCPC总线的各总线的各种扩展板。种扩展板。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论