VGA驱动与实现_第1页
VGA驱动与实现_第2页
VGA驱动与实现_第3页
VGA驱动与实现_第4页
VGA驱动与实现_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、VGA驱动及实现PEN. OURCE. ARDWARE.开源硬祥袂区HTTP:/www.oshbbs .com基础教程VGA驱动及实现基础教程版本 V 0.0.0 日 期 6/29/2009开源硬件社区将随时可能对本教程中的打印错误、与最新 资料不符之处、程序和设备改进做出必要改动。这些改动不再事先通知,但将会编入 新版手册中,并上传到我们的网站上。本文部分内容来源于互联网,版权归原作者所有。版权所有开源硬件社区作者:小马哥,可以通过i ut iM. il,ujm与我联系!Copyright 2009- 2010免责声明 开源硬件社区(Http:/ )保留本教程的最终解释 权,并不对由于因阅读

2、本文所带来的一切后果(包括商业目的)负责,请大家 慎重使用。目录第一章 VGA 简介一、 VGA 简介 ..01.3.二、 VGA 接口 5三、 VGA 电气特性 6 第二章 时序实现一、时序介绍 .二、时序实现 .第三章 OVGA 项目一、项目简介二、硬件介绍三、显存 四、DAC 五、调试端口...1.8.VGA简介第一章 VGA简介VGA简介本章介绍了 VGA接口的历史及电气、机械特性本章分为以下几个部分:一、VGA简介二、VGA接口形式三、VGA电气特性VGA简介VGA简介显卡所处理的信息最终都要输出到显示器上,显卡的输出接口就是电脑与显示器之

3、间的桥梁,它负责向显示器输出相应的图像信号。CRT显示器因为设计制造上的原因,只能接受模拟信号输入,这就需要显卡能输入模拟信号。VGA接口就是显卡上输出模拟信号的接口,VGA (Video Graphics Array)接口,也叫D-Sub接口。虽然液晶显示器可以直接接收数字信号,但为了兼容性,大多数液晶显示 器也配备了 VGA接口。VGA是IBM在1987年随PS/2机一起推出的一种视频传输标准,具有分辨 率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。目 前VGA技术的应用还主要基于 VGA显示卡的计算机、笔记本等设备。根据分辨 率不同,VGA 分为 VGA (640x

4、480)、SVGA (800x600)、XGA ( 1024x768)、SXGA(1280x1024)等。虽然说VGA的标准对于现在的个人计算机市场十分过时,但是VGA仍然是所有制造商所支持的最低标准,例如不管所有厂商的显卡,在不安装自己驱动的 情况下,都是支持 VGA标准显示的。VGA 接口VGA接口是一种D型接口( D-SUB),上面共有15针空,分成三排,每排五 个。如图1-1所示。而与之配套的底座则为孔型接口。图1 -1 VGA接口及底座VGA简介三、VGA电气特性VGA引脚定义如表1所示。表1:引脚名称描述引脚名称描述1REDRED Video9KEYKey (No pin)2GRE

5、ENGree n Video10SGNDSync Ground3BLUEBlue Video11ID0Monitor ID bit 04ID2Monitor ID bit 212 :ID1Monitor ID bit 15GNDGround13HSYNCHoriz on tal Sync6RGNDRed Grou nd14VSYNCVertical Sync7GGNDGree n Ground15ID3Monitor ID bit 38BGNDBlue Ground引脚1、2、3分别为红绿蓝三基色模拟电压,为00.714V peak-peak(峰-峰值),0V代表无色,0.714V代表满色。一

6、些非标准显示器使用的是1Vpp的满色电平。三基色源端及终端匹配电阻均为75欧姆,如图1-2所示。图1-2 VGA视频信号传输示意图HSYNC和VSYNC分别为行数据同步与帧数据同步,为TTL电平。时序实现第二章时序实现时序实现本章介绍VGA时序及实现方式本章分为以下几个部分:一、时序介绍二、时序实现时序实现时序介绍VGA的时序如图2-1、图2-2所示。它分为行数据时序和帧数据时序。Back porch (b)DATAHSYNCFront porch (d)1SYNC (a)Active video time ( c)Scanline time (e)时序实现时序实现图2-1 VGA行数据时序一

7、Active video time ( q)V4SYNC (o)4ILjaTotal frame time ( s)!DATAVSYNCBack porch (p)Front porch (r)图2-2 VGA帧数据时序行数据时序,顾名思义,也就是显示一行数据的时序。从图2-1可以看出,显示一行数据需要处理两件事情。第一:产生行同步 HSYNC。不难看出,HSYNC是一个脉冲信号,此信号的周期为:e=a+b+c+d,低电平时间为 a。其中a、b、c、d均为时间信号,这些信号根据需要显示的分辨率不同而不同。第二:产生显 示的数据(DATA )信号,此信号为模拟信号,当在显示有效数据 (Activ

8、e video) 内,DATA信号为00.714Vpp的模拟电压(R、G、B),根据分辨率的不同,DATA 的采样率、点数也皆不相同。帧数据时序与行时序类似,也就是显示一屏数据的时序。只是这里的基本单 位为每行数据,而行数据里面的最基本单位为每个点。上面提过,不同的分辨率,时序上的时间是不一样的。表2、表3列出常用分辨率及时间参数。时序实现时序实现时序实现表2常见刷新率时序表:显示模式时钟(MHz)行时序(像素数)帧时序(行数)abcdeopqrs64UX48U6U25.1/5964864U168UU23348U1U525640X48U/531.56412U64U1684U31648U5UU8

9、UUX6UU6U4U.U128888UU4U1U564236UU6288UUX6UU7549.58U16U8UU161U563216UU6251U24X7686U6513616U1U24p24p61U24x7687578.81761761U2416131232876818UU128Ux1U246U108.0112248128U48'16883381U2411U66128Ux8UU6U83.461362UU128U64168U3248UU1828144Ux9UU6U106.47152232144U8U19U43289UU1932表3常见刷新率时序表(时间)显示模

10、式时钟(MHz)行时序时间(卩)帧时序时间(ms)abcdeopqrs64Ux48U6U25.1753.811.925.4U.63531.7.UU61.U4815.250.31716.664UX48U7531.52.U33.82U.3U.5U726.7U.U8U.42612.8U.U2613.38UUx6UU6U4u.u3.22.22U26.4U.1U.615.84U.U2616.68UUx6UU7549.51.623.2r 16.16U.32321.3U.U6U.4512.80.02113.31U24x7686U2.U92.4615.7U.372U.6U.12P U.59915.870.06

11、216.61U24x76875p651.222.2312.99U.2U316.6U.U5U.46612.780.01613.3128Ux1U246U1081.U42.311.85U.44415.6U.U5U.616.00.01516.6128Ux8UU6U83.461.632.415.3U.762U.1U.U6U.4816.10.0216.6144Ux9UU6U1U6.471.432.1813.52U.7517.9U.U50.516.10.01716.6时序实现VGA时序的实现有很多方式, 可以用专用芯片, 可以用快速的CPU,也可用 可编程器件来实现。这里采用廉价的可编程器件,来产生VGA所

12、需的时序。由于目前液晶显示器的普及,而高于60Hz的刷新率对于液晶来说,没有任何意义,所以我们以 800x600在60Hz的刷新率下为例,解说 VGA时序的产生。 从表2可以看出,800x60060Hz,需要40.0MHz的驱动时钟,经过计算可知, HSYNC信号频率为 37.8787kHz,低电平脉冲时间为 3.2 详细verilog代码如下:时序实现module VGA(dclk,db,r,g,b,hs,vs,addr);in put dclk; 40MHz 800x600in put db;output addr;output r,g,b,hs,vs;reg hs,vs;reg10:0

13、coun t_v,co un t_h;reg18:0addr;wire15:0db;reg flag;wire4:0 r;wire5:0 g;wire4:0 b;assig n r,g,b=(flag=1?db15:0:0);/Hsync clock gen erator always(posedge dclk)begi nif (cou nt_h = 1056)count_h <= 0;elsecount_h <= coun t_h+1;end/Vsync clock gen erator always(posedge dclk)begi nif (cou nt_v = 628)

14、 cou nt_v <= 0;else if (co unt_h = 1056) count_v <= coun t_v+1; end/Hsync and Vsync gen erator. always(posedge dclk)begi nif (co unt_h = 0) hs <= 0;if (co unt_v = 4) vs <= 1;if (cou nt_h = 128) hs <= 1;if (co unt_v = 0)vs <= 0;if (cou nt_v > 27 && cou nt_v < 627)begin

15、if (cou nt_h > 216) && (cou nt_h < 1017) begin flag <= 1;addr <= addr+1;end else flag < =0;end else addr<=0;end en dmoduleOVGA项目第三章 OVGA项目OVGA项目通过本章,可以了解、学习OVGA开源项目本章分为以下几个部分:一、项目简介二、硬件介绍三、显存四、DAC五、调试端口OVGA项目项目简介OVGA是 【开源硬件社区 】推出的开源 VGA驱动项目。项目包括 硬件实现、软件驱动等,所有资源开源。当前显示器越来越廉价

16、,如果用显示器作为嵌入式设备的显示终端,不管从显示效果和成本上,都比常用的单色液晶、低分辨率彩色液晶等都有优势。本项 目利用廉价的可编程逻辑器件,实现了 800x600分辨率,60Hz刷新率的下的真彩色(16bit色)显示。通过外部预留的总线接口,可以与单片机、ARM、DSP等嵌入式处理器相连,作为人机界面。硬件介绍LMi凰弼沪fTFi瓏 0鬥s零L财厂-rtruinnifni再屮090053-1141113123.1 ;I j. irz4857910OVGA项目OVGA硬件分为两部分:OVGA模块和测试平台。两部分独立工作,虽然PCB 连在一起,但是可以掰开独立工作。两部分是通过24p连接线

17、连接在一起的。OVGA模块供电可以选择 3.3V或者5V供电,通过板子上的跳线选择。为了便于与其他系统连接,OVGA模块包含了两套接口,24脚底座和FPC连接器。下面介绍下系统资源:1. USB调试器接口;2. 3.3V、5V供电选择;3. OVGA模块上,24p连接器;4. 显存芯片 61LV25616;5. 外接电源(可接独立外接电源);6. 主逻辑芯片 EPM240T100C5;7. 指示LED,分别为电源指示、下载指示;8. 两个设定跳线,备用;9. 系统时钟,80MHz有源晶振;10. CPLD调试接口;11. VGA接口,工作时接到显示器上;12. FPC 24脚接口;功能等同 3

18、;13. 测试平台24p接口,用计算机下载图片时,连接于3;14. USB 转并口芯片 CH341A。三、显存800x600分辨率16bit下,一屏幕的数据有 960k字节。我们选用 61LV51216 作为显存,存储一屏幕的数据。由于 61LV51216时钟最快可以到 125MHz,而我 们实现的显示方式只需要 40MHz的驱动时钟,所以我们可以用 80MHz的时钟去RAM进行写,而用奇数周期对驱动61LV51216,这样可以用时钟的偶数周期对RAM进行读。进而避免了刷屏幕时(读RAM)写屏幕数据的逻辑冲突问题。四、DACCPLD只能输出数字信号,而 VGA需要的R、G、B是模拟信号,所以我们 需要进行模拟擞字转换即DAC功能。实现视频 DAC我们可以选用专用的芯 片,但是那样价格昂贵,这里我们选用R-2R电阻网络作为视频 DAC,从最终测试结果上看,此方法的显示效果是理想的。没有雪花、抖动、颜色也正。当然如 果在要求较高的场合,是必须选用专用DAC芯片的。16bit真彩色显示,RGB分别占的位数为 5: 6: 5模式,也就是红色用5位、绿色用6位、蓝色用5位来

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论