基于单片机的球类比赛计时计分系统设计_第1页
基于单片机的球类比赛计时计分系统设计_第2页
基于单片机的球类比赛计时计分系统设计_第3页
基于单片机的球类比赛计时计分系统设计_第4页
基于单片机的球类比赛计时计分系统设计_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于单片机的球类比赛计时计分系统设计基于单片机的球类比赛计时计分系统设计 姓名:xxx 学号:9 指导老师:xxx 摘要: 单片机广泛应用在电子领域的各个方面,因此想到要把单片机应用在球类比赛当中去,球类比赛主 要包括计时和计分两大块,这样就可以应用单片机来控制七段数码管LED来实现这种功能,达到预期 的效果。 本此计时计分系统的设计主要是篮球比赛为例,然后可以拓展到其他球类比赛的各个方面,篮球比赛 的计时计分系统主要是 以 AT89C51 单片机为核心元件,利用它内部的计数器 T0,通过软件编程来完成比赛 的计时,硬件部分除了 AT89C51 之外,还有 7 段 LED 数码管和开关,通过程

2、序控制后显示比分和时间。报警 部分采用蜂鸣器为音响器件。该计时计分器具有以下功能:1、计时:赛程时间启/停设置、24s 倒计时控制; 2、计分:比分加减控制,调整两队的比分;3、报警。且价格低廉、操作简单、携带方便,适合学校单位举办 友谊比赛等赛事的计时计分辅助工具。 关键词: 篮球 AT89C51 计时计分系统 中图分类号:号:TN Based on SCM ball games timing scoring system design Abstract:widely used in the electronic field microcontroller, therefore all as

3、pects of the thought of putting SCM in ball games, ball games among mainly includes timing and scoring two bulks, so it can be used a singleship controlling seven segment digital tube LED to implement this function, achieve the desired effect. The timing of the scoring system is designed as an examp

4、le, then basketball game could expand to other ball games each aspect, the game of basketball timing scoring system is mainly by AT89C51 components, use it internal counter T0, through software programming to complete game timing, hardware part besides AT89C51 seven sections, but also LED digital tu

5、be and switch, through the program control time and that the score. Alarm part adopts for audio devices. Buzzer The timing JiFenQi has the following functions: 1, timing: schedule time rev/stop setting, 24s countdown control; 2, scoring: score to add and subtract control, adjustment two teams score;

6、 3, call the police. And the price is cheap, simple operation, easy to carry, suitable for school held a sports event friendship match unit of auxiliary tool clock scoring. Keywords:basketball AT89C51 Timing scoring system Subdivisision classified number:TN 目录目录 1.1. 绪论绪论 .3 3 1.11.1 引言引言 .3 1.2 选题的

7、背景及意义 .3 2.2. 设计的总体方案设计的总体方案 .4 4 2.1 系统构成框图 .4 2.2 器件选择 .5 2.3 基本功能介绍 .5 2.3.12.3.1 比赛时间设置比赛时间设置 .5 2.3.22.3.2 赛程时间开始赛程时间开始/ /暂停设置暂停设置 .5 2.3.3 比分手动控制刷新.5 2.3.4 24s 显示控制.6 2.3.5 计时计分的显示方法 .6 2.3.6 计时结束后自动报警 .6 3.3. 硬件部分设计硬件部分设计 .6 6 3.1 AT89C51 单片机 .6 3.1.1 AT89C51 功能特性.6 3.2 计时电路设计 .7 3.2.1 LED 显示

8、器.7 3.2.23.2.2 报警电路报警电路 .9 3.2.33.2.3 计时电路计时电路 .9 3.3 计分电路设计 .10 3.4 计时计分系统的工作过程 .10 4.4. 系统的软系统的软件件设计设计 .1111 4.1 主程序 .12 4.1.14.1.1 主程序的流程图主程序的流程图 .12 4.1.24.1.2 主程序主程序 .14 5.5. 系统调试系统调试 .1414 6.6. 结束语结束语 .1515 致谢致谢 .1616 参考文献参考文献 .1717 1. 绪论 1.11.1 引言引言 球类比赛计时计分系统是辅助比赛的工具。球类比赛分为篮球,足球,排球, 乒乓球等许多种类

9、,不同球类比赛规则要求各不相同,例如足球比赛要求比赛时 间为九十分钟,其中还包括伤停补时时间,乒乓球比赛为十一球制等。篮球比赛 根据美国男篮职业联赛( NBA)的规定,则是采取四节制,每节十二分钟,比赛 结束后两队比分相同时,需要进行加时赛,在加时比赛中,每节比赛则是五分钟, 直到分出胜负为止。篮球比赛的计时计分系统由51 单片机,计时七段 LED 数 码管、计分七段 LED 数码管,开关等设备组成。 本计时计分系统 设计不仅可以实现开始、暂停等功能,同时还具有24s显 示的功能。 且价格低廉、操作简单、携带方便,适合学校单位举办友谊比赛等赛事 的计时计分辅助工具。 1.21.2 选题的背景及

10、意义选题的背景及意义 随着姚明,易建联等球星成功的加入NBA 后,篮球比赛在中国越来越被人 们关注,同时在学校单位等也受到欢迎,更被绝大多数青少年所喜爱。本设计除 了具有赛程时间计时、时间调整及暂停和比赛计分的功能,还具有24s 进攻 的功能。 且价格低廉、操作简单、携带方便,适合学校单位举办友谊比赛等赛事的 计时计分辅助工具。 从另一方面说,本设计方便了记录人员比赛时的计时计分工作,在某种程度 上也促进了篮球比赛在大众人群的普及,这样既有利于发展篮球运动,又有利于 增强人们的体质。另外这样能使比赛更趋向于公平化、正规化,减少了比赛中由 比赛记录出错产生的摩擦和不愉快的事情的发生,提高了比赛的

11、友谊性、娱乐性。 此外,该计时计分系统经过少许修改,即去掉24s 计时功能后,同样也适 用于其他球类比赛的计时计分。 2. 设计的总体方案 2.12.1 系统构成框图系统构成框图 本计时计分 系统的构成框图如图 1 所示。 AT89C51 计时显示记分显示 复位 晶振 赛程时间 设置键盘 赛程比分 设置键盘 图 1 篮球比赛计时计分系统的构成框图 本系统是用 AT89C51 设计的,起到核心的作用 。显示器件 是利用七段共阴 LED 数码管实现。其中,计分电路 系统中共接入 6 个 7 段共阴 LED 数码管用于 记录参赛 甲乙两队的分数,每对 3 个 LED 数码管,一般的篮球比赛的比分范围

12、 是 0150 分的范围之间,这 3 对 LED 数码管的 显示范围可达 0999 分,满足 比分的要求 。比分是通过按键来 手动控制加分,为了避免 手动操作时失误错加 分,因此增加了两个用于减分的按键。比分显示 是采用静态显示, 换言之, 6 个 LED 数码管在同一瞬间可以显示不同的字符。计时电路系统中共接 6 个 LED 数码管。其中 4 个用于记录 每节比赛的 赛程时间, 2 个用于显示分钟, 2 个用 于显示秒钟 ,中间用小数点隔开 。比赛时间采用倒计时方式,即比赛前将时间 设置好, 通过按键暂停, 比赛开始时 按下按键 ,开始倒计时, 直至计时到零为 止,比赛暂停时停止计时,比赛重

13、新开始时继续计时,计时范围可达 到 099 分钟,满足计时上限 12 分钟。另外 2 个 LED 数码管用于 24s 计时,同样采用 倒计时,比赛开始 通过按键 启动计时,计时到零或 进攻方出手投篮或被抢断则 重新开始由 24s 倒计时,如此循环,直至比赛结束。当有暂停同样停止计时, 重新开始时继续计时。 其次,为了 满足计时系统和计分系统的要求,设计中接入了 6 个按键。其 中四个用于输入参赛 甲乙两队的分数;另外 两个用于完成启动、暂停 和 24s 重新计时功能。 再次,本设计中还设计 了计时报警器,当比赛时间结束或 24s 结束时,立 即通过蜂鸣器发出警报声提示。 2.22.2 器件选择

14、器件选择 本设计中主要选取了 三种器件: 单片机: AT89C51 显示器件:共阴 极 LED 数码管 按键:欧姆龙按键 2.32.3 基本功能介绍基本功能介绍 2.3.12.3.1 比赛时间设置比赛时间设置 通过按键 暂停键、24 秒复位键 来设置比赛时间。 当比赛结束 时,如果两队比分相同 要进行加时赛是 ,这时比赛时间的 调整同样由 暂停键、24 秒复位键来设置。 2.3.22.3.2 赛程时间开始赛程时间开始/ /暂停设置暂停设置 当时间设置好 以后,例如每节时间为 12 分钟,那么 LED 数码管 14 上 分别显示 1200,12 表示分钟, 00 表示秒钟。这时, 在裁判吹响开始

15、哨声 前, 则立即按下 暂停键,当比赛开始 时,再次按下暂停键,这时计时开始, 计时 显示由 1200 变成 1159,1158,1157一直到 0000 时为止,即表示 这节的 比赛结束。 在比赛过程中,遇到换人、暂停等时 仍然通过暂停键来控制 时间停止 计时,数码管上的数值保持不变。当比赛继续进行时,应立即按下暂停键, 继续进行计时。 2.3.32.3.3 比分手动控制比分手动控制刷新刷新 由于在比赛中,两队的比分不断变化,所以需要设置比分手动控制装置。 该功能由按键 甲队加分,甲队减分,乙队加分,乙队减分完成: 甲队加分 :甲队比分加 1 甲队减分 :甲队比分减 1 乙队加分 :乙队比分

16、加 1 乙队减分 :乙队比分减 1 2.3.42.3.4 24s24s 显示控制显示控制 24s 值在程序中设置,由 LED 数码管 56 显示,即 LE 数码管 56 显示 24。比赛开始时按下 暂停键, 24s 随比赛时间一起计时,即计时显示由24 变 成 23、22、21直到 00。然后再从 24s 开始重新计时。 比赛过程中,当进攻的一方改变 ,应立即 24 秒复位键, 使 24s 重新计时。 2.3.52.3.5 计时计分的显示计时计分的显示方法方法 计时计分系统是采用七段共阴 LED 数码管显示。其中计分的六个 LED 数码管, 赛程时间四个 LED 数码管,24s 两个 LED

17、数码管。显示器显示格式分别为:000 000 和 0000 以及 00。 2.3.62.3.6 计时结束后自动报警计时结束后自动报警 当比赛时间或 24s 计时结束时,系统会自动发出 3s 报警声提示。 3. 硬件部分设计 在进行硬件部分设计时,首先要确定元器件,并且知道这些器件的实现功能。 然后才能进行设计。本设计的硬件电路是 LED 显示电路。硬件部分的设计除了硬件 电路的设计,还包括 PCB 图的绘制。 3.13.1 AT89C51AT89C51单片机单片机 本系统是用 AT89C51 设计的,起到核心的作用 。AT89C51 是高性能 CMOS8 位 单片机,它有 4K 字节的可反复擦

18、写的只读存储器(PENROMFalsh Programmable and Erasable Read Only Memory)和 128 字节的存取数据存储器(RAM) 。 3.1.13.1.1 AT89C51AT89C51 功能特性功能特性 目前许多嵌入控制系统使用了这种芯片。它的主要性能特点如下: 与 MCS-51 兼容 寿命:1000 写/擦循环 数据保留时间:10 年 全静态工作:0Hz-24Hz 128B8 位内部 RAM 三级程序存储器锁定 5 个中断源 可编程串行通道 低功耗的闲置和掉电模式 3.23.2 计时电路设计计时电路设计 3.2.13.2.1 LEDLED 显示器显示器

19、 一 、LED 结构和原理 LED数码管组成结构如图2(a)所示 图 2(a)中,ag 为数字或字符显示段,h 段位小数点段。通过 ag 为 7 个发 光二极管的不同组合,可以显示 09 和 AF 共 16 个数字和字母。例如, a、b、c 段亮,显示数字“7” , c、f、e、d 段亮,则显示字母“b” 。 LED可以分为两种结构,分别是共阴极和共阳极,如图2(b)和(c)所示,其中 图(b)为共阴极结构。即把8个发光二极管的阴极连在一起接地。当ag输入端为高 电平时,则能够点亮ag中相应的发光二极管,输入低电平则静止。比如我们现在要 显示数字“2” ,则对应的a、b、g、d、e段一定要送入

20、高电平,除此之外的段送入低 电平,点亮为“2” 。图(c)则是共阳极结构。其显示端输入低电平时点亮,输入高 电平时截止。 (a)引脚分布 (b)共阴 LED (c)共阳 LED 图 2 八段 LED 图 表1列出了共阴极和共阳极LED数码管的两种显示09、af与显示代码之间的对 应关系,即共阴极显示和共阳极显示。 表1 显示器显示数字、字母与显示代码之间的对应关系表 显示字符共阴极段 码 共阳极段 码 显示字符共阴极段 码 共阳极段 码 03FHC096FH90H 106HF9A77H88H 25BHA4B7CH83H 34FHB0C39HC6H 466H99HD5EHA1H 56DH92HE

21、79H86H 67DH82HF71H8EH 707HF8P73H8CH 87FH80H “灭” 00HFFH 2、 LED 数码管显示方式 点亮 LED 数码管有两种方式:一种是静态显示;另一种是动态显示。 (本设计采 用静态显示。 ) 所谓静态显示,就是当片选端有效后,相应的数码管开始工作,当显示器显示 某一字符时,通过程序控制 51 单片机的接口,将数据送到相应的数码管的数据接口, 这时相应的发光二极管恒定地导通或截止,显示出设置的数字或字母。这样每个 LED 数码管可以单独显示。这种电路的优点是:在同一时间段时可以显示不同的字 符,且无闪烁。缺点是比较浪费端口资源。因此,在数据较多的时候

22、一般不采用此 设计,而是采用动态显示。 51 单片机共有 40 个端口,可以用来做为编程的端口有 P1 口,P2 口,P3 口, 共记 32 个端口。此次硬件设计共用到 27 个端口,端口满足编程需要,所以采用静 态显示。动态显示在这里不做介绍。 3、LED 数码管接口译码 由 LED 数码管的结构及其工作原理可知,为了在 LED 上显示数据或字母,则首 先要把待显示的数据或字母转换成 LED 的七位显示代码,这样才可以显示相应的数 据或字母。通过实现这种转换的方法由两种:一、硬件译码;二、软件译码。 (本设 计采用软件译码。 ) 二、软件译码 如图 3 所示,这种电路在软件设计时,数码管采用

23、共阴极方式,在数据段定义 09 十个数字。 图 3 一位动态 LED 显示器电路 如图3所示,P1.0到P1.7分别接a、b、c、d、e、f、g、h,如果要显示数字“5” 则只需要令P1=6D。 3.2.23.2.2 报警电路报警电路 图 4 报警电路图 报警电路如图 4 所示。 3.2.33.2.3 计时电路计时电路 计时电路,主要由按键暂停键、24 秒复位键 、单片机 AT89C51 以及共阴极 LED 数码管构成。其工作原理如下:定时器 T0 开始一直处于定时计数状态。计时采 用倒计时,初始化时每节时间为 12 分钟,则 LED 显示器 14 上分别显示 1200,12 表示分钟, 00

24、 表示秒钟。这时,如果裁判吹响开始哨声,则立即按下 暂停键,即比赛开始,计时显示由1200 变成 1159,1158,1157一直到 0000 时为止,即表示 一节的比赛结束 ,一场比赛共有四节。 与此同时 24s 也在倒计时。当 24s 倒计时到 0 时,再从 24s 重新倒计时如此反 复循环,直至比赛结束。当进攻方改变时,按下 24 秒复位键 ,24s 重新计时。如 果比赛中暂停,按下暂停键即可,可多次暂停。 其中暂停键功能复用,既能暂停,又能继续开始比赛。 3.33.3 计分电路设计计分电路设计 计分电路主要由单片机 AT89C51 和按键构成, 其工作过程如下:按键 甲队 加分,甲队减

25、分,乙队加分,乙队减分组成甲、乙两队加减分控制。例如: 现在以甲队加分为例来说明 系统的工作方式 。假设比赛刚开始,双方比分为 000 000,某次进攻中 甲队投进两分球 ,则需要按下甲队加分键加分 ,按 两下,这时 使得到显示为 002 000,每次加减时只能加一分,当甲对投进三分球 时,则按三下甲队加分 。 3.43.4 计时计分系统的工作过程计时计分系统的工作过程 其工作过程如下:首先在比赛开始前接通电源,通过硬件系统复位,此时计时 中的 12 分钟和 24 秒,计分中的比分都显示“0” 。计时的 LED 则显示 1200 24 。 当裁判吹响开始哨声前,立即按下暂停键,计时停止,当裁判

26、吹响开始哨声, 这时立即按下暂停键,计时开始,并且采用倒计时,即从 12 分钟减为 0 表示一节 结束,同时 24 也倒计时,倒计时到 0 时自动返回到 24s 重新倒计时,如此循环直至 比赛结束。当比赛中,进攻方改变时,立即按下 24 秒复位键 ,24s 就立即重新由 24s 开始倒计时。当 12 分钟或 24s 倒计时到零时,蜂鸣器都会发出 3 秒的响声,用 以提示。当一节比赛结束后,系统复位,进行第二节比赛。整个赛程中,我们还要 对比分进行及时手动刷新,这时我们通过计分电路中的甲队加分,甲队减分,乙队 加分,乙队减分 四个按键完成此功能,甲队加分,甲队减分 完成甲队加、减分, 乙队加分

27、和乙队减分 完成乙队加、减分。加分键每按一下,LED 则显示加一分。减 分键每按一下,LED 则显示减一分。 如果在赛程过程中,出现暂停,应立即按下暂停键,即可以立即暂停计时,暂 停结束时,在按下暂停键继续计时,直至比赛一节结束,蜂鸣器发出 3 秒声响。 每节的计时计分过程同以上相同。 4. 系统的软件设计 系统的软件主要是根据硬件电路通过编程来实现计时、计分、比分刷新等功能, 并显示比分和时间。 P0.0P0.5 接口分别接 12:00 分钟和 24 秒的片选端,其中接上上拉电阻和 5 伏的电源。 sbit t1=P00; sbit t2=P01; sbit t3=P02; sbit t4=

28、P03; sbit t5=P04; sbit t6=P05; P1.0P1.7 接 12 个数码管的数据端口。 P2.0P2.7 接甲乙两队的比分接口的片选端。 sbit led1=P20; sbit led2=P21; sbit led3=P22; sbit led4=P23; sbit led5=P24; sbit led6=P25; sbit led7=P26; sbit led8=P27; P3.0P3.5 接开关。 sbit k1=P30; sbit k2=P31; sbit k3=P32; sbit k4=P33; sbit k5=P34; sbit k6=P35; sbit k7

29、=P36; P3.7 接蜂鸣器。 sbit buz=P37; 本设计的软件由主程序,延时程序和定时服务程序三部分组成。 主程序按要求 读取显示数据,包括计时过程的处理以及比分的显示。延时程序主要用来延时,定 时程序主要是定时倒计时。 复位主要通过硬件来实现。 4.14.1 主程序主程序 4.1.14.1.1 主程序的流程图主程序的流程图 Y 两队比分加减 24s 处理 赛程处理 暂停键按下否 24s 到否 赛程时间到否 开始 设定赛程时间 时间如:12 分钟 启动定时器 T0 比分调整键按 下否 24s 重计时键 按下否 初始化 N Y Y N N N N N Y Y Y N Y 图 5 主程

30、序的流程图 4.1.24.1.2 主程序主程序 见附录2。 5. 系统调试 本次软件设计我运用了所学过的 keil,protel99se,proteus 等软件,在设计的 过程中遇到了许多困难,有很多不懂的地方我请教了老师,查阅了图书馆的资料, 和同学讨论,在同学和老师的帮助下,一步步的克服了困难,例如怎么样减化电路, 怎么样实现延时更合理,怎么样通过按键完成计时和计分等等,通过不断的努力, 最终整个程序能基本编译通过,proteus 仿真实现。 本课题是用 AT89C51 设计的篮球计时计分系统的, 51 单片机起到核心的作 用。同时运用了 LED 数码管的静态显示。并采用 c 语言进行编程

31、设计。本次设计完 成的主要工作如下: 提出构想和产品设计的可行性 熟悉 AT89C51 单片机系统,掌握其 C 语言的程序设计 了解使用各器件的原理,功能及其引脚 硬件总体的设计 完成 C 语言编写程序 完成软件的调试编译 6. 结束语 通过这个学期的毕业设计,我对一些专业的电子设计有了更深的了解,同时也 尝试着去应用自己所掌握的知识完成作品的设计,这次毕业设计主要是对单片机控 制 LED 数码管显示的应用,各种设计软件的操作的一个综合性的考核。经过几个礼 拜的努力,我发现了自身的差距与不足,认识从理论与实践的过程是困难的,只有 经过努力才能实现,以后要不断学习,不断的完善自己。 毕业设计不仅

32、给我们提供了一个很好的展现和应用自己所掌握的知识的平台, 又是检验自己所学知识和实现所学知识价值的一个机会。 在设计的过程中不可避免的遇到了许多困难。尤其是在最后的调试中,会因为 某种原因而得不到满意的结果,但最后还是解决了。最后在调试结果终于出来以后 我更是无比兴奋,无比自豪。在这里我要感谢帮助过我的老师和同学尤其是我的毕 业设计指导老师赵明老师,没有你们也就没有这份毕业设计和论文。 对我而言,知识上的收获重要,精神上的丰收更加可喜。我们每一个人永远不 能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。 挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上

33、一个非常 美好的回忆。 致谢 在论文完成之际,我首先要特别感谢赵明老师给予了我无私的帮助,正是 在他的鼓励和帮助之下,我得以顺利完成论文。 我还要向那些热心帮助的同学表示最真挚的谢意。在论文写作期间,我遇 到了很多不懂的地方,包括理论知识和做实物的过程,我都向他们请教,他们 都无私热情的告诉我。 其次我还要更特别的感谢四年来默默关心我、支持我、鼓励我的家人,亲 戚,朋友;是他们让我有信心、有勇气克服了太多的困难,最终得以顺利完成 学业,在此对他们表达我深深的谢意。 参考文献参考文献 1 丁元杰.单片机原理及应用 M.北京:机械工业出版社 . 2 李广军等 .微机的原理与接口技术 M.成都:电子

34、科技大学出 版社 3 何立民.MCS-51 单片机应用系统设计 M.北京:北京航空航天 大学出版社 . 4 中国篮球协会审定 .篮球规则 M.北京:光明日报出版社 . 5 高鹏等. 电路设计与制版 Protel99 入门与提高 M.北京: 人民邮电出版社 . 6 谭浩强.c 程序设计(第三版) .北京:清华大学出版社 7 阎石等.数字电路基础(第五版) M.北京:高等教育出版社 8 林志琦等 .基于 proteus 的单片机可视化软硬件仿真 M.北京: 北京航空航天大学出版社 . 9 孟祥莲等 . 单片机原理与应用 -基于 proteus 与 keil cM.哈 尔滨:哈尔滨工业大学出版社 .

35、 10夏路易,石宗义 .电路原理与电路设计教程 Protel 99SEM.北京:北京希望电子出版社 . 附录 1 附录 2 #include #define uchar unsigned char #define uint unsigned int #define led_out P1 uchar tab10=0 x3F,0 x06,0 x05b,0 x4f,0 x66,0 x6d,0 x07d,0 x07,0 x7f,0 x6f; sbit led1=P20; sbit led2=P21; sbit led3=P22; sbit led4=P23; sbit led5=P24; sbit l

36、ed6=P25; sbit led7=P26; sbit led8=P27; sbit t1=P00; sbit t2=P01; sbit t3=P02; sbit t4=P03; sbit t5=P04; sbit t6=P05; sbit t7=P06; sbit t8=P07; sbit k1=P30; sbit k2=P31; sbit k3=P32; sbit k4=P33; sbit k5=P34; sbit k6=P35; sbit k7=P36; sbit buz=P37; int num1,num2,num4,num3=24,num5=12; void init(void)

37、TMOD=0X01;/T0,模式 1 TL0=0XB0; /定时 50ms TH0=0X3C; TR0=1; /启动 T0 ET0=1; /开中断 EA=1; void delay(uint xms) uint x,y; for(x=xms;x0;x-) for(y=110;y0;y-); void Disply(void) led1=0; led_out=tabnum1/100; delay(3); led1=1; led2=0; led_out=tabnum1%100/10; delay(3); led2=1; led3=0; led_out=tabnum1%10; delay(3); l

38、ed3=1; led4=0; led_out=0 x40; delay(3); led4=1; led5=0; led_out=0 x40; delay(3); led5=1; led6=0; led_out=tabnum2/100; delay(3); led6=1; led7=0; led_out=tabnum2%100/10; delay(3); led7=1; led8=0; led_out=tabnum2%10; delay(3); led8=1; void Disply1(void) t1=0; led_out=tabnum5/10; delay(3); t1=1; t2=0; l

39、ed_out=(tabnum5%10|0 x80); delay(3); t2=1; t3=0; led_out=tabnum4/10; delay(3); t3=1; t4=0; led_out=tabnum4%10; delay(3); t4=1; t5=0; led_out=tabnum3/10; delay(3); t5=1; t6=0; led_out=tabnum3%10; delay(3); t6=1; void jianpan(); void main() init(); while(1) jianpan(); Disply1(); Disply(); void timer0(void) interrupt 1 using 0 uint aa; TL0=0XB0; /重装初值 TH0=0X3C; aa+; if(aa=20) aa=0; num3-; if(num3=0) buz=0; if(num3=23) buz=1; if(num30) num3=24; num4-; if(num40) num4=59;num5-; if(num4=0num4=0;TR0=0;buz=0; void jianpan() if(k1=0) while(!k1) Disply(); Disply1(); num1+; if(k2=0

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论