CameraLink图像采集接口电路1(2)详解(共8页)_第1页
CameraLink图像采集接口电路1(2)详解(共8页)_第2页
CameraLink图像采集接口电路1(2)详解(共8页)_第3页
CameraLink图像采集接口电路1(2)详解(共8页)_第4页
CameraLink图像采集接口电路1(2)详解(共8页)_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、CameraLink 图像采集接口电路1Camera Link标准概述Camera Link 技术标准是基于 National Semiconductor 公司的 Channel Link 标准发展而来的,而 Channel Link 标准是一种多路并行 LVDS 传输接口标准。 低压差分信号( LVDS )是一种低摆幅的差分信号技术,电压摆幅在 350mV 左右,具有扰动小,跳变速率快的特点,在无失传输介质里的理论最大传输速率在 1.923Gbps 。 90 年代美国国家半导体公司( National Semiconductor )为了找到平板显示技术的解决方案,开发了基于 LVDS 物理层

2、平台的 Channel Link 技术。此技术一诞生就被进行了扩展,用来作为新的通用视频数据传输技术使用。 如图1 所示, Channel Link 由一个并转串信号发送驱动器和一个串转并信号接收器组成,其最高数据传输速率可达 2.38G 。数据发送器含有 28 位的单端并行信号和 1 个单端时钟信号,将 28 位 CMOS/TTL 信号串行化处理后分成 4 路 LVDS 数据流,其 4 路串行数据流和 1 路发送 LVDS 时钟流在 5 路 LVDS 差分对中传输。接收器接收从 4 路 LVDS 数据流和 1 路 LVDS 时钟流中把传来的数据和时钟信号恢复成 28 位的 CMOS/TTL

3、并行数据和与其相对应的同步时钟信号。图1 camera link接口电路2Channel Link标准的端口和端口分配2.1 端口定义 一个端口定义为一个 8 位的字,在这个 8 位的字中,最低的 1 位( LSB )是 bit0 ,最高的 1 位( MSB )是 bit7 。 Camera Link 标准使用 8 个端口,即端口 A 至端口 H 。2.2 端口分配 在基本配置模式中,端口 A 、 B 和 C 被分配到唯一的 Camera Link 驱动器 / 接收器对上;在中级配置模式中,端口 D 、 E 和 F 被分配到第二个驱动器 / 接收器对上;在完整配置模式中,端口 A 、 B 和

4、C 被分配到第一个驱动器 / 接收器对上,端口 D 、 E 和 F 被分配到第二个驱动器 / 接收器对上,端口 G 和 H 被分配到第三个驱动器 / 接收器对上(见图2 )。表1 给出了三种配置的端口分配, Camera Link 芯片及连接器的使用数量情况。表1 3种配置模式的端口分配配置模式端口芯片数量连接器数量基本A,B,C11中级A,B,C,D,E,F22完整A,B,C,D,E,F,G, H32每一个 Camera Link 驱动器都有标注着从 TX0 至 TX27 的 28 个数据输入引脚,相应的接收器有标注着从 RX0 至 RX27 的 28 个数据输出引脚。2.3 端口的位分配

5、从表2 中我们可以看出在 3 种 Camera Link 配置模式中,图像数据位是怎样分配到端口的。这种位分配方式已经被应用于市场上最流行的相机上了。表2 Camera Link 接口的端口分配驱动器输入信号对应芯片引脚StrobeTxCLK Out/TxCLK InLVALTX/RX24FVALTX/RX25DVALTX/RX26SpareTX/RX23PortA0 , PortD0 , PortG0TX/RX0PortA1 , PortD1 , PortG1TX/RX1PortA2 , PortD2 , PortG2TX/RX32PortA3 , PortD3 , PortG3TX/RX3

6、PortA4 , PortD4 , PortG4TX/RX4PortA5 , PortD5 , PortG5TX/RX6PortA6 , PortD6 , PortG6TX/RX27PortA7 , PortD7 , PortG7TX/RX5PortB0 , PortE0 , PortH0TX/RX7PortB1 , PortE1 , PortH1TX/RX8PortB2 , PortE2 , PortH2TX/RX9PortB3 , PortE3 , PortH3TX/RX12PortB4 , PortE4 , PortH4TX/RX13PortB5 , PortE5 , PortH5TX/

7、RX14PortB6 , PortE6 , PortH6TX/RX10PortB7 , PortE7 , PortH7TX/RX11PortC0 , PortF0TX/RX15PortC1 , PortF1TX/RX18PortC2 , PortF2TX/RX19PortC3 , PortF3TX/RX20PortC4 , PortF4TX/RX21PortC5 , PortF5TX/RX22PortC6 , PortF6TX/RX16PortC7 , PortF7TX/RX17 如果只用端口 D 和 G ,那么它们与器件的连接方法与端口 A 相同。同样,如果使用端口 E 和 H ,它们与器件

8、连接方法同端口 B 的相同,端口 F 的与端口 C 的相同。如果相机在每个周期内仅输出 1 个像素,那么就使用分配给像素 A 的端口;如果相机在每个周期内输入 2 个像素,那么使用分配像素 A 和像素 B 的端口;如果在每个周期内输出 3 个像素,那么使用分配给像素 A 、 B 和 C 的端口;依次类推至相机每周期输出 8 个像素,那么分配给 A H 的 8 个端口都将被使用。3图像采集接口电路的具体实现对于XX可见光相机时序控制FPGA软件测试设备技术项目,仿真fpga将处理完的cmos数据保存到ddr2中,根据V4传给V5的24组I2C数据,确定将要片面读取DDR2中处理好的CMOS图像的

9、片面地址,然后将所要选取的cmos图像数据从ddr2中读取出来,并且通过5路cameralink显示出来;对于XX可见光信号处理FPGA软件测试设备技术项目,因为tlk2711的处理频率为100mhz,而DS90CR287的主要工作频率为85mhz,所以经过V4处理过的5路图像数据先要保存进ddr2,然后再通过缓存将图像读出来并通过cameralink显示出来,从上面可以看出,两个项目的cameralink接口是相似的,都是从ddr2的控制器mig软核的用户端写入地址,然后在时钟使能的驱动下,将图像数据读取出来,然后通过cameralink接口传至上位机进行显示,因为DS90CR287的输入数

10、据位为28位,而DDR2的数据位为64位,所以需要设计一个数据读取及分发模块以及一个cameralink数据缓冲输出模块,具体软件流程框图如图3:图1 cameralink图像采集软件流程框图当数据从cameralink数据缓冲模块输出后进入DS90CR287,然后28位数据在时钟的控制下变为4路LVDS信号,然后再通过DS90CR288输出变为28位的CMOS数据,传至cameralink图像采集卡,最后通过图像采集卡传至上位机,其cameralink硬件流程框图如图2所示:图2 cameralink图像采集硬件流程框图28位数据信号中包括三个数据端口:A口(8位)即data_a_7;0、B

11、口(8位)即data_b_7;0、C口(8位)即data_c_7;0,和四个控制信号FVAL(帧有效)、DVAL(数据有效)、LVAL(行有效)、SPARE(空,暂时未用),另外DS90CR287还有一个85mhz时钟输入,经过Camera Link芯片转换后的时钟信号是整个cameralink图像采集电路的同步驱动信号,数据控制信号都和该时钟信号同步,其时序图如图3所示:图3 DS90CR287的工作时序图各端口的配置和信号类型如下表;端口名端口类型信号类型描述来源/去向Cpsv_Cameralink_out_1输出STD_LOGIC_VECTOR(6 DOWNTO 0)Cameralink

12、数据缓冲输出信号1Cameralink数据读取及缓冲模块Cpsv_Cameralink_out_2输出STD_LOGIC_VECTOR(6 DOWNTO 0)Cameralink数据缓冲输出信号2Cpsv_Cameralink_out_3输出STD_LOGIC_VECTOR(6 DOWNTO 0)Cameralink数据缓冲输出信号3Cpsv_Cameralink_out_4输出STD_LOGIC_VECTOR(6 DOWNTO 0)Cameralink数据缓冲输出信号4Cpsl_cameralink1_pclk_iCpsl_cameralink4_pclk_i输入STD_LOGIC数据读取及

13、缓冲模块的时钟Cpsv_Data_a_7:0输入STD_LOGIC_VECTOR(7 DOWNTO 0)DS90CR287的输入数据aDS90CR287模块Cpsv_Data_b_7:0输入STD_LOGIC_VECTOR(7 DOWNTO 0)DS90CR287的输入数据bCpsv_Data_c_7:0输入STD_LOGIC_VECTOR(7 DOWNTO 0)DS90CR287的输入数据cCpsl_Fval输入STD_LOGIC帧有效Cpsl_Dval输入STD_LOGIC数据有效Cpsl_Lval输入STD_LOGIC行有效Cpsl_Spare输入STD_LOGIC空信号,暂时未用Cps

14、l_Transmit_clock_in输入STD_LOGIC输入DS90CR287的时钟Cpsl_Lvds_data_1输出STD_LOGIC输出的低压差分信号1Cpsl_Lvds_data_2输出STD_LOGIC输出的低压差分信号2Cpsl_Lvds_data_3输出STD_LOGIC输出的低压差分信号3Cpsl_Lvds_data_4输出STD_LOGIC输出的低压差分信号4Cpsl_Lvds_clock输出STD_LOGIC输出的低压差分时钟信号Cpsl_Lvds_data_1输入STD_LOGIC输入的低压差分信号1DS90CR288模块Cpsl_Lvds_data_2输入STD_L

15、OGIC输入的低压差分信号2Cpsl_Lvds_data_3输入STD_LOGIC输入的低压差分信号3Cpsl_Lvds_data_4输入STD_LOGIC输入的低压差分信号4Cpsl_Lvds_clock输入STD_LOGIC输入的低压差分时钟信号Cpsv_Data_a_out_7:0输出STD_LOGIC_VECTOR7:0DS90CR288的输出数据aCpsv_Data_b_out_7:0输出STD_LOGIC_VECTOR7:0DS90CR288的输出数据bCpsv_Data_c_out_7:0输出STD_LOGIC_VECTOR7:0DS90CR288的输出数据cCpsl_Fval输出STD_LOGIC帧有效信号Cpsl_Dval输出STD_LOGIC数据有效信号Cpsl_Lval输出STD_LOGIC行有效信号Cpsl_Receive_clock输入STD_LOGICCameralink图像采集卡的输入时钟Cameralink图像采集卡模块Cpsl_Fval输入STD_LOGI

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论