版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃
2、薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈
3、袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂
4、蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆
5、袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀
6、螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅
7、羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂
8、袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆
9、蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀
10、袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄
11、螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿
12、羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃
13、螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀
14、蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄
15、袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿
16、蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃
17、羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇
18、螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁
19、蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆
20、袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃
21、虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇
22、羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁
23、螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅
24、薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀
25、袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄
26、虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁
27、羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅
28、螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿
29、薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄
30、衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈
31、蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂
32、羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄袇芀葿蒇螃芀腿蚃虿袆芁蒅薅袅蒄螁羃袄膃薄衿袃芆蝿螅袃莈薂蚁袂蒀莅羀羁膀薀袆羀节莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蚀肄芃蚃薆肃莅蒆袅肂肅蚂袁肁芇蒄螇肁莀螀蚃肀蒂薃羁聿膂莆袇肈芄薁螃膇莆莄虿膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆蚂膂芅葿羁膁莇蚄 实验七 序列检测器的vhdl设计1、 实验目的
33、用状态机实现序列检测器的设计,了解一般状态机的设计与应用。二、实验设计原理 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串 行二进制码后,如果这组码与检测器中预先设置的码相同,则输出 1,否则输出 0。由于这种检测的关键在于正确码的收 到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。书上p168例5-11 描述的电路完成对序列数“11100101”的检测,当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相
34、同,则输出“a”,否则仍然输出“b”。3、 实验内容用vhdl状态机设计一个8位序列信号检测器。要求:利用quartusii进行文本编辑输入、仿真测试并给出仿真波形,了解控制信号的时序,最后进行引脚锁定并完成硬件测试实验。程序设计及程序分析如下:library ieee ;use ieee.std_logic_1164.all;entity schk is port(din, clk, clr : in std_logic; ab : out std_logic_vector(3 downto 0);end schk;architecture behav of schk is signal q
35、 : integer range 0 to 8 ; signal d : std_logic_vector(7 downto 0); begin d = “11100101” ; process( clk, clr ) begin if clr = 1 then q if din = d(7) then q = 1 ; else q if din = d(6) then q = 2 ; else q if din = d(5) then q = 3 ; else q if din = d(4) then q = 4 ; else q if din = d(3) then q = 5 ; els
36、e q if din = d(2) then q = 6 ; else q if din = d(1) then q = 7 ; else q if din = d(0) then q = 8 ; else q q = 0 ; end case ; end if ; end process ; process( q ) begin if q = 8 then ab = “1010” ; else ab = “1011” ; end if ; end process ;end behav ;四、仿真分析编译仿真后的波形如下所示:由仿真结果可以看到,由于预置的密码数是“11100101”,当输入的
37、序列数与上述的数字相同,输出才由b变成a。五、硬件测试过程.按实验板“系统复位”键;.用键 2 和键 1 输入 2 位十六进制待测序列数“11100101”;.按键7复位(平时数码6指示显“b”);.按键 6(clk) 8 次,这时若串行输入的 8 位二进制序列码(分别显示于数码管 2和数码管1 以及发光管 d8d0)与预置码“11100101”相同,则数码 6 应从原来的 b 变成 a,表示序列检测正确,否则仍为 b。6、 实验内容将8位待测预置数作为外部输入信号,即可以随时改变序列检测器中的比较数据。写出此程序的符号化单进程有限状态机。程序设计如下:library ieee;use iee
38、e.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity schk2 isport (din,clk,clr: in std_logic;shr: in std_logic_vector(7 downto 0); ab : out std_logic_vector(3 downto 0);end entity schk2;architecture behav of schk2 is signal q : integer range 0 to 8; signal d : std_logic_vector(7 downto 0); be
39、gind=shr; process(clk,clr) begin if clr=1 then q if din=d(7) then q=1; else q if din=d(6) then q=2; else q if din=d(5) then q=3; else q if din=d(4) then q=4; else q if din=d(3) then q=5; else q if din=d(2) then q=6; else q if din=d(1) then q=7; else q if din=d(0) then q=8; else q q=0; end case; end
40、if; end process; process(q) begin if q=8 then ab=1010; else ab=1011; end if; end process;end architecture behav;七、仿真分析编译仿真后的波形如下所示:待检测预置数为“00110011”待检测预置数为“11010011”由仿真结果可以看到,只有当输入的序列数与由外部输入的预置数字相同,输出才由b变成a。实验八 用 quartusii 设计正弦信号发生器一、实验目的 进一步熟悉 quartusii 及其 lpm_rom 与 fpga 硬件资源的使用方法。二、实验设计原理正弦信号发生器的结
41、构由4个部分组成:计数器或地址发生器(这里选择6位);正弦信号数据rom(6位地址线,8位数据线),含有64个8位数据(一个周期);vhdl顶层设计、8位d/a(实验中用dac0832代替)。顶层文件singt.vhd在fpga中实现,包含两个部分:rom的地址信号发生器,由6位计数器担任;正弦数据rom,由lpm-rom模块组成。lpm-rom底层是fpga中的eab或m4k等模块。地址发生器的时钟clk的输入频率f。3、 实验内容要求:在 quartus ii 上完成正弦信号发生器设计,包括时序仿真和资源利用情况了解。最后在实验系统上进行硬件测试。程序设计如下:library ieee;
42、use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity singt isport ( clk : in std_logic; dout : out std_logic_vector (7 downto 0) );end;architecture dacc of singt iscomponent data_rom port(address : in std_logic_vector (5 downto 0); inclock : in std_logic ;q : out std_logic_vector (7 do
43、wnto 0);end component;signal q1 : std_logic_vector (5 downto 0); beginprocess(clk ) beginif clkevent and clk = 1 then q1q1, q = dout,inclock=clk);end;四、实验过程定制初始化波形数据文件:建立.mif格式文件。filenewother files,选择 memory initialization file选项,选择64点8位的正弦数据,弹出表格后输入教材图4-38中的数据。然后以romd.mif的名字保存至新建的文件夹中。定制lpm_rom元件:利
44、用megawizard plug-in manager定制正弦信号数据rom宏功能块,并将以上的波形数据加载于此rom中。并以data_rom.vhd名字将生成的用于例化的波形数据rom文件保存至上述文件夹中。用vhdl语言完成正弦信号发生器的顶层设计:此过程与实验七的步骤类似。五、仿真分析编译仿真后的波形如下所示:由仿真波形可以看到,随着每一个时钟上升沿的到来,输出端口将正弦波数据依次输出。将这些数据与图4-38和图4-39所示的加载与lpm_rom的数据比较,可以看出,设计是正确的。五、硬件测试过程选择电路模式no.5,时钟接实验箱上的clock0;将dout(0)、dout(1)、dou
45、t(7)分别锁定于实验系统上与dac0832相接的i/o口:pio24、pio25、pio26、pio27、pio28、pio29、pio30、pio31。编译下载后,打开+/-12v电压开关,选择clock0的频率为750khz,再将示波器接于实验箱a/d、d/a板上的两个挂钩上观察正弦波形。从示波器上可看到输出正弦波符合实验要求,由此证明设计是正确的。本学期实验心得通过四次实验下来,我觉得对学eda有了浓厚的兴趣。期间每一次的实验我都认真的对待了,收获颇多,慢慢引导了我改怎样去学好这门eda课程。第一次实验。实验做的是组合逻辑电路的vhdl设计和时序电路的vhdl设计,我记得我是比较早做完
46、的。因为在上课的吴老师就要我们装好quartusii软件,所以我在很有准备的对quartusii软件有了一定熟悉。开始我还在网上搜索怎么使用quartusii软件,而且还下载了quartusii视频教程,然后一步一步慢慢的学会了使用quartusii软件。当我独自在设计组合逻辑电路的vhdl设计时,我翻阅了书籍全加器的那一节,仔细看了例题3-18,因为这里也利用到了怎么样使用例化语句。而且在我编好了程序的时候,自我感觉良好觉得程序没有什么错误。可是一当运行的时候,就出来了很多的错误,什么没有定义的,什么赋值符号出错的,第一次修改自己的程序真的是伤透了脑经,毕竟是第一次编写vhdl程序,而且还有
47、这么多的错误。然后设计时序电路的vhdl代码时,这个就简单的多了,因为我们只要将其中进程中添加d进去,在把其他剩下的残枝落叶添加好,d锁存器就设计好了。在仿真测试的时候,由于我事先做好了充分的准备,在几乎不到半个小时的样子我的实验就做完了。然后我就在帮助其他的同学调试出错什么的。但是我碰到最多的问题就是他们在做“双2选1多路选择器”的时候,都忘记将底层文件添加进去,所以导致后面的程序频繁出错。其他注意事项,比如实体名要和vhdl文本名一样,赋值符号别弄错了,程序包调用设置等等。第二次实验。使我印象最深刻的是,我在编写10进制加减可控计数器的时候费了好大的心思。因为我记得老师布置给我们的作业里面
48、有个设计“含有异步清零和计数使能的16位二进制加减可控计数器”,我想起了那个程序,然后我就努力的把那个程序改成10进制加减可控计数器。但是我在调试了n遍之后,老是显示什么“=”附近出错,我改了很久,仍然没有改正确。然后我又找了我们班的同学,我把我设计此程序的意思讲解给他们听了,但是他们也费了很大的尽还是没有改出来。最后没有办法,只能求救吴老师帮忙了,我把我设计此程序的意思和附加我设计的程序通过邮箱发给了吴老师。等看到吴老师回复给我的邮件时,我才恍然大悟,我把if各种语句的使用全部弄混淆了,最后把我自己也弄的稀里糊涂了,就这样经过老师的帮忙,我才得以设计出用choose的高低电平来控制,高电平控
49、制计数器的加法,低电平控制计数器的减法。然后在对应的将其他的地方编写好,费了我很大心思的10进制加减可控计数器就这样诞生了。第三次实验。我认真做好事先的预习准备,因为我感觉这里的实验和我们学单片机的实验有点相像,都是利用数码管扫描来显示数据。于是我就把我们学的单片机上的程序和vhdl的程序对应起来,只是单片机用的是汇编语言,vhdl用的硬件描述语言,虽然两者的语言不同,但是大体的思路还是相同的,只不过单片机要设置延时程序,而vhdl不要设置延时程序,系统已经帮我们调好了,只要我们把程序编好,放到机器里面用就ok了。两者的对比之下,我学会了硬件扫描显示电路的设计思路。然后后面的程序还好做一些,经
50、过吴老师实验前的讲解,使得我们在实验操作过程中少走了弯路,才得以使得我们有充分的时间在研究程序,不断的发现问题。第四次实验。由于听说老师考试的时候不考状态机,所以我这次的实验就没有充分的预习好。但是在得到同学们的程序后还是能迅速的将实验做出来。因为我认真做了前面三次的实验,也积累了不少的经验,拿着程序迅速的将指示显示出来了,当按clk八下时,如果敲进去的8位二进制序列码与预置码相同,则在数码管上显示出a字样。但是我并没有马上叫老师来检查我的结果,而是坐下来慢慢把程序看完,不懂的地方问了同学才得以了解了此程序的用意。但是此次实验让我最痛苦的实验是“用quartusii设计正弦信号发生器”,老师说
51、只要我们仿真出来就可以了。然后我就按照书上图4-38到图4-45的步骤一个一个的来弄,在经过曲折漫长的过程中,我弄出来了。但是我觉得有几点是非常重要的,因为这是同学们经常出现的错误,我告诉他们之后,他们就一下出来了,最后比我的还在早出来。首先是定制初始化数据文件,两种方法只能用一种,因为有的人用的建立.mif格式文件,但是取名却为sdata.hex。其次是波形数据敲错,有的人看的眼睛花了,敲错了一个数据还不知道,还在那里一个尽的往下敲。最后就是完成顶层文件时,要把将生成的.hex文件和两个.vhd文件都添加进去,这样才能保证程序的正确仿真。但是我偏偏忘记的就是最后一步,在完成顶层设计时,忘记将
52、.hex文件和两个.vhd文件添加进去了,我还老是在往前面看哪里出错了,简直把我伤透了脑经。最后在请助教帮忙的情况下才得知我错误的根源,我这才恍然大悟,原来我犯了这么一个低级的错误,害的我在那里重复操作了半天。 最后,这四次实验我在和同学们在一起商讨一起做实验的过程中,学到了许多在我们课程中学不到的东西,使我更加加深了对vhdl实验的感性认识。巩固了我的部分理论知识;学会了使用硬件描述语言编写一些简单的程序;掌握了quartusii软件使用,培养了我的实践技能,更为了我以后的实习奠定了一定的基础。再附上: 蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿
53、薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃
54、螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇
55、薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄节蒄螈肇蒇螃螇腿芀虿螆芁蒅薅螅羁芈蒁袄肃蒄莇袄膆芇蚅袃袅蒂蚁袂肈莅薇袁膀薀蒃袀节莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蚀羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄虿蚄肆蕿薅蚃膈莂蒁蚂芁膅螀螁羀莁蚆螀肂膃薂螀芅
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
评论
0/150
提交评论