数字电子技术课件第四章组合逻辑电路讲解_第1页
数字电子技术课件第四章组合逻辑电路讲解_第2页
数字电子技术课件第四章组合逻辑电路讲解_第3页
数字电子技术课件第四章组合逻辑电路讲解_第4页
数字电子技术课件第四章组合逻辑电路讲解_第5页
已阅读5页,还剩112页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第四章第四章 组合逻辑电路组合逻辑电路 4.1 组合逻辑电路的分析组合逻辑电路的分析 4.2 组合逻辑电路的设计组合逻辑电路的设计 4.3 常用集成组合逻辑器件及应用常用集成组合逻辑器件及应用 4.4 组合逻辑电路中的竞争与冒险组合逻辑电路中的竞争与冒险 4.5 用用Multisim 10分析组合逻辑电路分析组合逻辑电路 4.6 组合逻辑电路的组合逻辑电路的VHDL描述及其仿真描述及其仿真 一、本章内容一、本章内容 1. 了解组合逻辑电路的定义及特点。了解组合逻辑电路的定义及特点。 2. 掌握组合逻辑电路的分析方法。掌握组合逻辑电路的分析方法。 3. 掌握组合逻辑电路的设计方法。掌握组合逻辑电

2、路的设计方法。 4. 熟练掌握常用集成组合逻辑器件的原理及熟练掌握常用集成组合逻辑器件的原理及 应用。应用。 5. 了解组合逻辑电路中的竞争了解组合逻辑电路中的竞争-冒险现象。冒险现象。 6. 学会应用学会应用Multisim10 分析组合逻辑电路。分析组合逻辑电路。 7. 学会应用学会应用VHDL描述组合逻辑电路。描述组合逻辑电路。 二、本章教学目的与要求二、本章教学目的与要求 三、本章知识结构三、本章知识结构 4.1 组合逻辑电路的分析组合逻辑电路的分析 4.1.1 组合逻辑电路的定义组合逻辑电路的定义 逻辑电路逻辑电路 组合组合 逻辑电路逻辑电路 时序时序 逻辑电路逻辑电路 功能:功能:

3、输出只取决于输出只取决于 当前的输入。当前的输入。 组成:组成:门电路,不存门电路,不存 在记忆元件。在记忆元件。 功能:功能:输出取决于当输出取决于当 前的输入和原前的输入和原 来的状态。来的状态。 组成:组成:组合电路、记组合电路、记 忆元件。忆元件。 1. 组合逻辑函数的定义组合逻辑函数的定义 2. 组合逻辑电路的逻辑功能描述组合逻辑电路的逻辑功能描述 1112 2212 12 ( ,) ( ,) ( ,) n n mmn yf x xx yfx xx yfx xx ()YF X 组合逻辑电路框图组合逻辑电路框图 向量形式向量形式 4.1.2 组合逻辑电路的分析组合逻辑电路的分析 组合逻

4、辑电路的分析就是根据给定的逻辑电路图,组合逻辑电路的分析就是根据给定的逻辑电路图, 找出输出和输入之间的逻辑关系,并判断电路的找出输出和输入之间的逻辑关系,并判断电路的 功能。功能。 组合逻辑电路的分析方法一般是先从给定逻辑电组合逻辑电路的分析方法一般是先从给定逻辑电 路的输入端开始,逐级写出逻辑函数式,最后得路的输入端开始,逐级写出逻辑函数式,最后得 到输出逻辑函数式;然后对输出逻辑函数式进行到输出逻辑函数式;然后对输出逻辑函数式进行 化简,或列出逻辑真值表;最后说明给定电路的化简,或列出逻辑真值表;最后说明给定电路的 基本功能。基本功能。 一般情况下,分析的目的是为了确定逻辑功能是一般情况

5、下,分析的目的是为了确定逻辑功能是 否满足要求;或是为了变换电路的结构形式,以否满足要求;或是为了变换电路的结构形式,以 得到最简的电路结构;或是为了得到输出函数的得到最简的电路结构;或是为了得到输出函数的 标准输出形式。标准输出形式。 【例【例4-1】 组合逻辑电路如图组合逻辑电路如图4.2所示,分析该电路所示,分析该电路 的逻辑功能。的逻辑功能。 图图4.2 例例4-1的逻辑电路图的逻辑电路图 解:解:(1)写出逻辑表达式)写出逻辑表达式 由逻辑电路图逐级写出表达式,根据与非门的逻由逻辑电路图逐级写出表达式,根据与非门的逻 辑关系,可以写出辑关系,可以写出 )()(AAAL BBBM)(

6、)()(BALBN )()(BAMAP BABABABANPY )()()( (2)列出真值表)列出真值表 将输出将输出Y的表达式转换成真值表形式,如表的表达式转换成真值表形式,如表4-1 所示。所示。 A BY 0 0 0 1 1 0 1 1 0 1 1 0 表4-1 例4-1的真值表 (3)功能说明)功能说明 从真值表中可以看出,当输入变量从真值表中可以看出,当输入变量A和和B相同时,输出相同时,输出 变量变量Y为为0;当;当A和和B不同时,输出变量不同时,输出变量Y为为1。这种逻辑。这种逻辑 关系就是异或逻辑关系。关系就是异或逻辑关系。 【例【例4-2】 组合逻辑电路如图组合逻辑电路如图

7、4.3所示,分析该电路的所示,分析该电路的 逻辑功能。逻辑功能。 图图4.3 例例4-2的逻辑电路图的逻辑电路图 解:解:(1)由逻辑图逐级写出逻辑表达式)由逻辑图逐级写出逻辑表达式 (2)化简与变换)化简与变换 () ) () ) () ) )YAABCBABCCABC () ) () ) () ) ) ()()() ()() YAABCBABCCABC AABCBABCCABC ABCABC ABACA BBCA CB C 化简后化简后 CBCABAY (4)分析逻辑功能分析逻辑功能 (3)由表达式列出真值表)由表达式列出真值表 AYBC 0000 1001 0101 1101 0011

8、1011 0111 1110 4.2 组合逻辑电路的设计组合逻辑电路的设计 组合逻辑电路的设计是指根据给出的实际逻辑问组合逻辑电路的设计是指根据给出的实际逻辑问 题,求出实现相应逻辑功能的最简单或是最适合题,求出实现相应逻辑功能的最简单或是最适合 的逻辑电路。的逻辑电路。所谓最简单的电路是指最小化电路,所谓最简单的电路是指最小化电路, 即电路所用的逻辑器件的数目最少、种类最少,即电路所用的逻辑器件的数目最少、种类最少, 且器件之间的连线也是最少的。最适合的电路是且器件之间的连线也是最少的。最适合的电路是 从工程实际的角度提出的,指能够满足速度、功从工程实际的角度提出的,指能够满足速度、功 耗等

9、技术指标的电路。耗等技术指标的电路。 实现一个逻辑功能的要求,可以采用小规模、中实现一个逻辑功能的要求,可以采用小规模、中 规模或大规模集成电路实现,也可以采用存储器、规模或大规模集成电路实现,也可以采用存储器、 可编程逻辑器件来实现。本节只讨论采用小规模可编程逻辑器件来实现。本节只讨论采用小规模 集成电路构成组合逻辑电路的设计方法。集成电路构成组合逻辑电路的设计方法。 4.2.1 组合逻辑电路的设计步骤组合逻辑电路的设计步骤 1. 进行逻辑抽象进行逻辑抽象 实际的逻辑问题,通常是用文字描述或数据记录的实际的逻辑问题,通常是用文字描述或数据记录的 一个具有一定因果关系的命题。这时需要用逻辑一个

10、具有一定因果关系的命题。这时需要用逻辑 抽象的方法,用一个逻辑函数来表示这一因果关抽象的方法,用一个逻辑函数来表示这一因果关 系。系。 (1)分析逻辑命题的因果关系,确定输入逻辑变量)分析逻辑命题的因果关系,确定输入逻辑变量 和输出逻辑变量。和输出逻辑变量。 (2)确定逻辑状态含义,即进行逻辑赋值,用二值)确定逻辑状态含义,即进行逻辑赋值,用二值 逻辑的逻辑的0和和1分别代表输入逻辑变量和输出逻辑变分别代表输入逻辑变量和输出逻辑变 量的两种不同逻辑状态。这里的量的两种不同逻辑状态。这里的0和和1的具体含义的具体含义 由设计者选定。由设计者选定。 (3)列真值表。)列真值表。 思考?思考? 组合

11、逻辑电路的设计步骤组合逻辑电路的设计步骤 由由 组合逻辑电路的分析步骤组合逻辑电路的分析步骤 倒推。倒推。 2. 写出逻辑函数式写出逻辑函数式 根据真值表写出逻辑函数表达式。根据命根据真值表写出逻辑函数表达式。根据命 题的要求和器件的功能其资源情况,可以题的要求和器件的功能其资源情况,可以 对逻辑函数表达式进行化简或形式变换。对逻辑函数表达式进行化简或形式变换。 3. 画逻辑电路图画逻辑电路图 根据化简或变换形式后的逻辑函数式,画出根据化简或变换形式后的逻辑函数式,画出 逻辑电路的连接图。逻辑电路的连接图。 4.4.2 组合逻辑电路的设计举例组合逻辑电路的设计举例 【例【例4-3】 举重比赛有

12、三名裁判员,每个裁判员手举重比赛有三名裁判员,每个裁判员手 里各控制一个白灯和一个红灯。白灯亮表示成功,里各控制一个白灯和一个红灯。白灯亮表示成功, 红灯亮表示失败。运动员在试举结束后,如果亮红灯亮表示失败。运动员在试举结束后,如果亮 三个白灯,或两白一红,表示试举成功;如果是三个白灯,或两白一红,表示试举成功;如果是 两红一白,或三个红灯,则表示试举失败。试设两红一白,或三个红灯,则表示试举失败。试设 计一个试举成功提示电路。计一个试举成功提示电路。 解:解:(1)逻辑抽象)逻辑抽象 将三名裁判控制的开关作为输入变量,分别用变将三名裁判控制的开关作为输入变量,分别用变 量量A、B、C表示。表

13、示。A、B、C为为1表示白灯亮,为表示白灯亮,为0 表示红灯亮。将试举结果作为输出变量,用变量表示红灯亮。将试举结果作为输出变量,用变量 Y表示。表示。Y为为1表示试举成功,为表示试举成功,为0表示试举失败。表示试举失败。 根据题意,列真值表如表根据题意,列真值表如表4-3所示。所示。 A B CY 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 表4-3 例4-3的真值表 (2)写出逻辑函数式)写出逻辑函数式 根据真值表,写出输出变量的逻辑函数式,并进行根据真值表,写出输出变量的逻辑函数式,并进行 化简。化简。

14、(3)画逻辑电路图)画逻辑电路图 由于化简结果为最简的与或表达式,所以只有在由于化简结果为最简的与或表达式,所以只有在 使用与门和或门组成的电路才是最简的电路,其使用与门和或门组成的电路才是最简的电路,其 逻辑电路连接如图逻辑电路连接如图4.4所示。所示。 YA BCAB CABCABC ABACBC 图图4.4 例例4-3的逻辑电路图一的逻辑电路图一 YA BCAB CABCABC ABACBC 如果要求用其它类型的门电路来实现这个逻辑功如果要求用其它类型的门电路来实现这个逻辑功 能,为了得到最简的逻辑电路,化简的结果应进能,为了得到最简的逻辑电路,化简的结果应进 行相应的变换。例如,在要求

15、全部用与非门实现行相应的变换。例如,在要求全部用与非门实现 这个逻辑电路时,就应该将化简结果变换为与非这个逻辑电路时,就应该将化简结果变换为与非- 与非表达式。与非表达式。 () ) () () () ) YABBCAC ABBCAC 图图4.5 例例4-3的逻辑电路图二的逻辑电路图二 思考?设计一个设计一个3变量的多数表决器,按照少变量的多数表决器,按照少 数服从多数的原则进行表决,输入变量用数服从多数的原则进行表决,输入变量用A、 B、C表示,输出变量用表示,输出变量用Y表示。表示。 4.3 常用集成组合逻辑器件及应用常用集成组合逻辑器件及应用 在社会实践中,人们发现一些逻辑电路经常、大在

16、社会实践中,人们发现一些逻辑电路经常、大 量地出现在各种数字电路系统中,为了使用方便,量地出现在各种数字电路系统中,为了使用方便, 将这些逻辑电路制成各种规格的标准化集成器件。将这些逻辑电路制成各种规格的标准化集成器件。 本节所要介绍的编码器、译码器、数据选择器等本节所要介绍的编码器、译码器、数据选择器等 等都属于这类器件,它们被称为中规模集成器件。等都属于这类器件,它们被称为中规模集成器件。 中规模集成器件,大多数都是专用的功能器件,中规模集成器件,大多数都是专用的功能器件, 都具有特定的逻辑功能。对于复杂的逻辑电路,都具有特定的逻辑功能。对于复杂的逻辑电路, 在设计时经常调用这些已有的功能

17、器件,作为电在设计时经常调用这些已有的功能器件,作为电 路的组成部分。路的组成部分。 4.3.1 编码器编码器 用文字、符号或数码表示特定对象的过程称为编用文字、符号或数码表示特定对象的过程称为编 码。码。如邮政编码、城市区号、身份证号等均属于如邮政编码、城市区号、身份证号等均属于 编码。编码。在数字电路中用二进制代码表示有关的信在数字电路中用二进制代码表示有关的信 号称为二进制编码。具有编码功能的电路就是编号称为二进制编码。具有编码功能的电路就是编 码器。码器。编码器是一个多输入多输出的电路,对于编码器是一个多输入多输出的电路,对于 m个输入信号,需要个输入信号,需要n位二进制编码,并且位二

18、进制编码,并且m应不应不 大于大于2n。常用的编码器有。常用的编码器有二进制编码器二进制编码器和和二二-十进十进 制编码器制编码器两种。两种。 1. 二进制编码器二进制编码器 用用n位二进制代码对位二进制代码对N=2n个一般信号进行编码的个一般信号进行编码的 电路,叫做二进制编码器。例如电路,叫做二进制编码器。例如n=3,可以对,可以对8个个 一般信号进行编码。二进制编码器又可以分为二一般信号进行编码。二进制编码器又可以分为二 进制普通译码器和二进制优先编码器两种。进制普通译码器和二进制优先编码器两种。 (1)二进制普通编码器)二进制普通编码器 二进制普通编码器在任何时刻只允许一个输入信二进制

19、普通编码器在任何时刻只允许一个输入信 号有效,不允许出现两个或两个以上的信号同时号有效,不允许出现两个或两个以上的信号同时 有效,因而其输入是一组有约束关系有效,因而其输入是一组有约束关系(互相排斥互相排斥) 的变量。下面以的变量。下面以3位二进制普通编码器为例,分析位二进制普通编码器为例,分析 普通编码器的工作原理。普通编码器的工作原理。 输入信号为输入信号为8个,分别用个,分别用D0D7表示,输出信号为表示,输出信号为 3位二进制代码,用位二进制代码,用A2、A1、A0表示,因此,它又表示,因此,它又 称为称为8线线-3线编码器。线编码器。 3位二进制编码器框图 D0 D1 D2 D3 D

20、4 D5 D6 D7A2A1A0 编码器输入输出的对应关系编码器输入输出的对应关系 设输入信号为设输入信号为1 1表示对该输入进行编码。表示对该输入进行编码。 任何时刻只允许输任何时刻只允许输 入一个编码请求入一个编码请求 其它输入取值组合不允许出现,为无关项。其它输入取值组合不允许出现,为无关项。 输输 入入输输 出出 D0D1D2D3D4D5D6D7A2A1A0 10000000000 01000000001 00100000010 00010000011 00001000100 00000100101 00000010110 00000001111 27654321076543210 7

21、654321076543210 AD D D D D D D DD D D D D D D D D D D D D D D DD D D D D D D D 由于输入信号中任何时刻只有一个有效,即输入由于输入信号中任何时刻只有一个有效,即输入 信号相互排斥,所以只需将使函数值为信号相互排斥,所以只需将使函数值为1的变量加的变量加 起来,便可以得到相应输出的最简与或表达式。起来,便可以得到相应输出的最简与或表达式。 24567 ADDDD 12367 ADDDD 01357 ADDDD 图中图中D0的编码是隐含的,即的编码是隐含的,即D1D7均为无效状态时,均为无效状态时, 编码器的输出就是编码

22、器的输出就是D0的编码。的编码。 (2)二进制优先编码器)二进制优先编码器 二进制普通编码器需对输入信号进行限制,即任二进制普通编码器需对输入信号进行限制,即任 何时刻只允许输入一个编码信号,否则输出将产何时刻只允许输入一个编码信号,否则输出将产 生错误。实际中会遇到多个输入信号同时有效的生错误。实际中会遇到多个输入信号同时有效的 情况,这时就需要选用优先编码器。优先编码器情况,这时就需要选用优先编码器。优先编码器 是一种允许两个或两个以上输入信号同时有效的是一种允许两个或两个以上输入信号同时有效的 编码器。在设计优先编码器时已经将所有输入信编码器。在设计优先编码器时已经将所有输入信 号规定了

23、优先顺序,当多个输入信号同时有效时,号规定了优先顺序,当多个输入信号同时有效时, 只对优先级别最高的输入信号进行编码。这种编只对优先级别最高的输入信号进行编码。这种编 码器广泛用于计算机系统或微控制器系统中的中码器广泛用于计算机系统或微控制器系统中的中 断请求电路和数字控制系统中的排队逻辑电路。断请求电路和数字控制系统中的排队逻辑电路。 74x148(74LS 148/74HC148,74LS148属于属于TTL 系列,系列,74HC148属于属于CMOS系列)是常用的集成系列)是常用的集成8 线线-3线优先编码器,其引脚排列图和逻辑符号如线优先编码器,其引脚排列图和逻辑符号如 图所示。图所示

24、。 引脚排列图引脚排列图 逻辑符号图逻辑符号图 电路正常工作,但没有编码输入电路正常工作,但没有编码输入 电路正常工作,且有编码输入电路正常工作,且有编码输入 【例【例4-4】 试用两片试用两片8线线-3线优先编码器线优先编码器74x148组成组成 16线线-4线优先编码器。线优先编码器。 解:由于每片解:由于每片74x148只有只有8个编码输入,所以需将个编码输入,所以需将16 个编码输入信号分别接在两片上。现以个编码输入信号分别接在两片上。现以 作作 为输入信号,为输入信号, 优先级最高,优先级最高, 优先级最低,因优先级最低,因 此需要将此需要将 接在高位片的接在高位片的 上,将上,将

25、接在低位片的接在低位片的 上。同时,高位片的使上。同时,高位片的使 能输入端能输入端 =0,高位片的,高位片的 接低位片的接低位片的 , 高位片始终工作在编码状态,当高位片无编码输高位片始终工作在编码状态,当高位片无编码输 入时,高位片入时,高位片 =0,使低位片工作在编码状态,使低位片工作在编码状态, 这样就可以保证这样就可以保证 的优先级顺序。的优先级顺序。 0 I 15 I 15 I 0 I 8 I 15 I 0 D 7 D 0 I 7 I 0 D 7 D EI EO EI EO 0 I 15 I 2. 二二-十进制编码器十进制编码器 二二-十进制编码器是将十进制编码器是将10个输入信号

26、分别编成个输入信号分别编成10个个 BCD代码输出的电路,其工作原理与二进制编码代码输出的电路,其工作原理与二进制编码 器的工作原理基本相同。常用的具有高位优先功器的工作原理基本相同。常用的具有高位优先功 能的编码器有能的编码器有74LS147、74HC147等。等。 输出端输出端 反码形式反码形式 表示表示 D 为最高为最高 位位 A为最低位为最低位 74HC147逻辑符号图逻辑符号图 输入端输入端 低电低电 平有效平有效 被被 隐含隐含 0 I 4.3.2 译码器译码器 译码是编码的逆过程,是将表示特定意义信息的译码是编码的逆过程,是将表示特定意义信息的 二进制代码翻译成对应的输出信号。实

27、现译码的二进制代码翻译成对应的输出信号。实现译码的 电路成为译码器。如果译码器的输入端有电路成为译码器。如果译码器的输入端有n个,个, 输出端有输出端有m个,则个,则m应不大于应不大于2n。常用的译码器有。常用的译码器有 二进制译码器、二二进制译码器、二-十进制译码器和显示译码器十进制译码器和显示译码器三三 种。种。 1. 二进制译码器二进制译码器 将二进制代码的各种状态,按其意义翻译成对应将二进制代码的各种状态,按其意义翻译成对应 的输出信号的电路,叫做的输出信号的电路,叫做二进制译码器二进制译码器。显然,。显然, 如果输入二进制代码的为如果输入二进制代码的为n个,则它的输出端为个,则它的输

28、出端为2n 个,且对应于输入代码中的每一个状态,个,且对应于输入代码中的每一个状态,2n个输个输 出中只有一个为有效状态,其它全部为无效状态。出中只有一个为有效状态,其它全部为无效状态。 由于二进制译码器可以译出输入变量的全部状态,由于二进制译码器可以译出输入变量的全部状态, 又被称为又被称为全变量译码器全变量译码器。常见的二进制译码器有。常见的二进制译码器有2 线线-4线译码器、线译码器、3线线-8线译码器、线译码器、4线线-16线译码器线译码器 等。等。 2线线-4线译码器线译码器 (a) 逻辑电路图逻辑电路图 (b) 逻辑符号图逻辑符号图 0 ()YGB A 1 ()YGB A 2 ()

29、YGBA 3 ()YGBA G 当当 =0,译码器工作,此时输出信号的逻辑函数式可以,译码器工作,此时输出信号的逻辑函数式可以 化为化为 00 ()YB Am 11 ()YB Am 22 ()YBAm 33 ()YBAm 可见,可见,2线线-4线译码器的输出变量线译码器的输出变量 分别对分别对 应着二进制码应着二进制码BA的所有最小项的非,即输出变量的所有最小项的非,即输出变量 可以用输入变量的最小项来表示,所以将这种译可以用输入变量的最小项来表示,所以将这种译 码器称为最小项译码器。码器称为最小项译码器。2线线-4线译码器的真值表线译码器的真值表 如表如表4-7所示。所示。 0 Y 3 Y

30、常用的二进制集成译码器有:双常用的二进制集成译码器有:双2线线-4线译码器线译码器 74x139;3线线-8线译码器线译码器74x138;4线线-16线译码器线译码器 74x154等。等。 74HC138是一种典型的二进制全译码器,它有是一种典型的二进制全译码器,它有3个个 输入端输入端C、B、A,C是高位,是高位,A是低位;是低位;8个输出个输出 端端 ;3个使能端个使能端 、 、 ,可以控,可以控 制译码器的工作状态,还可以用来译码器逻辑功制译码器的工作状态,还可以用来译码器逻辑功 能的扩展,使能端也被称为能的扩展,使能端也被称为“片选片选”输入端。输入端。 0 Y 7 Y 1 G 2A

31、G 2B G 74HC138的逻辑符号图的逻辑符号图 当当 =0或或 =1时,译码器被禁止译码,时,译码器被禁止译码, 即无论输入即无论输入CBA为何种状态,译码器输出全为为何种状态,译码器输出全为1; 当当 =1且且 =0时,译码器正常工作,输时,译码器正常工作,输 出信号出信号 分别对应输入变量分别对应输入变量CBA的一个最小的一个最小 项的非,项的非, 的函数式为的函数式为 1 G 22AB GG 1 G 22AB GG 0 Y 0 Y 7 Y 7 Y 1 G 1 G 1 G 1 G 1 G 1 G 1 G 00 ()YC B Am 11 ()YC B Am 22 ()YC BAm 33

32、 ()YC BAm 44 ()YCB Am 55 ()YCB Am 66 ()YCBAm 77 ()YCBAm 2. 二二-十进制译码器十进制译码器 将十进制的二进制编码(将十进制的二进制编码(BCD码)翻译成对码)翻译成对 应的应的10个输出信号的电路,称为二个输出信号的电路,称为二-十进制十进制 译码器,它有译码器,它有4个输入端和个输入端和10个输出端,因个输出端,因 此又称为此又称为4线线-10线译码器。常用的集成二线译码器。常用的集成二- 十进制译码器有十进制译码器有7442、74LS42、74HC42等。等。 74LS42的逻辑符号图的逻辑符号图 3. 显示译码器显示译码器 在数字

33、系统内部,数字量都是以一定的代码形式在数字系统内部,数字量都是以一定的代码形式 出现的,为了把这些代码用人们习惯的数字、字出现的,为了把这些代码用人们习惯的数字、字 母、符号等形式显示出来,需要将这些代码进行母、符号等形式显示出来,需要将这些代码进行 译码,然后通过驱动电路由数字显示器显示。人译码,然后通过驱动电路由数字显示器显示。人 们把这种类型的译码器称为显示译码器。在中规们把这种类型的译码器称为显示译码器。在中规 模集成电路中,常把译码和驱动电路集成在一起,模集成电路中,常把译码和驱动电路集成在一起, 用来驱动显示器。用来驱动显示器。 常用的数字显示器有多种类型。按显示方式分为常用的数字

34、显示器有多种类型。按显示方式分为 自行重叠式、分段式、点阵式等;按照发光物质自行重叠式、分段式、点阵式等;按照发光物质 不同可以分为半导体显示器(发光二极管显示不同可以分为半导体显示器(发光二极管显示 器)、荧光数字显示器、液晶数字显示器和气体器)、荧光数字显示器、液晶数字显示器和气体 放电显示器。在数字系统常用的显示器是七段字放电显示器。在数字系统常用的显示器是七段字 符显示器(七段数码管)。符显示器(七段数码管)。 (1)七段数码管)七段数码管 七段数码管由七段数码管由7个发光段(个发光段(a、b、c、d、e、f、g) 组成,组成,7个发光段组成一个个发光段组成一个8字,其结构和显示数字,

35、其结构和显示数 字图形如图所示。每个发光段为一个或数个发光字图形如图所示。每个发光段为一个或数个发光 二极管,加上适当电压时,对应的发光段就会发二极管,加上适当电压时,对应的发光段就会发 光。七段数码管内部分为共阳极和共阴极两种接光。七段数码管内部分为共阳极和共阴极两种接 法。共阳极是将法。共阳极是将7段发光二极管的阳极接在公共电段发光二极管的阳极接在公共电 源上,当某段发光二极管的阴极接低电平时,该源上,当某段发光二极管的阴极接低电平时,该 段就发光;共阴极是将段就发光;共阴极是将7段发光二极管的阴极共同段发光二极管的阴极共同 接地,当某段发光二极管的阳极接高电平时,该接地,当某段发光二极管

36、的阳极接高电平时,该 段就发光。段就发光。 共阳极接法共阳极接法 共阴极接法共阴极接法 7447、74LS47、74LS247 74LS48、74LS248、74LS249 (2)七段显示译码器)七段显示译码器 74LS47逻辑符号图逻辑符号图 输入输入4位位 BCD码码 输出输出7位位 反码,驱反码,驱 动共阳极动共阳极 数码管数码管 灯测试信号,灯测试信号, 低电平有效低电平有效 灭零输入端,灭零输入端, 低电平有效低电平有效 灭灯输入灭灯输入/灭零灭零 输出端,输出端,低电低电 平有效平有效 4. 译码器的应用译码器的应用 基本原理基本原理 3位二进制译码器给出位二进制译码器给出3变量的

37、全部最小项变量的全部最小项; 。 n位二进制译码器给出位二进制译码器给出n变量的全部最小项变量的全部最小项; 任意函数任意函数 将将n位二进制译码输出的最小项组合起来,可获位二进制译码输出的最小项组合起来,可获 得任何形式的输入变量不大于得任何形式的输入变量不大于n的组合函数的组合函数 i Ym 例:利用例:利用74HC138设计一个多输出的组合逻辑电路,设计一个多输出的组合逻辑电路, 输出逻辑函数式为:输出逻辑函数式为: 1 2 3 4 ZACA BCAB C ZBCA B C ZA BAB C ZA BCB CABC 1 2 3 4 (3,4,5,6) (1,3,7) (2,3,5) (0

38、,2,4,7) ZACABCABCm ZBCABCm ZABABCm ZABCBCABCm 13456 2137 3235 40247 (3,4,5,6) () (1,3,7) () (2,3,5) () (0,2,4,7) () Zmm m m m Zmm m m Zmm m m Zmm m m m 【例【例4-5】 试用两片试用两片3线线-8线译码器线译码器74HC138组成组成4 线线-16线译码器。线译码器。 FL M NL MNLM N 解:设输入变量为解:设输入变量为L、M、N,输出变量为,输出变量为F,当,当L、 M、N中只有一个变量为中只有一个变量为1时,输出为时,输出为F=1

39、,否则,否则, F=0。可以写出输出变量。可以写出输出变量F的表达是为的表达是为 【例【例4-6】 用用3线线-8线译码器线译码器74HC138和门电路设计一和门电路设计一 个三变量单个三变量单“1”检测电路。要求当输入三个变量中只检测电路。要求当输入三个变量中只 有一个有一个1时,输出为时,输出为1;否则输出为;否则输出为0。 4.3.3 数据选择器数据选择器 在多路数据传输过程中,根据地址码的要求,在多路数据传输过程中,根据地址码的要求, 能把其中的一路数据信号挑选出来的电路,能把其中的一路数据信号挑选出来的电路, 叫做是数据选择器(叫做是数据选择器(MUX),又称多路选),又称多路选 择

40、器或多路开关。数据选择器有择器或多路开关。数据选择器有n位地址输位地址输 入、入、2n位数据输入、位数据输入、1位输出。在地址输入位输出。在地址输入 的控制下,从多路输入数据中选择一路输的控制下,从多路输入数据中选择一路输 出,其功能相当于一个单刀多掷开关。常出,其功能相当于一个单刀多掷开关。常 用的数据选择器有用的数据选择器有2选选1、4选选1、8选选1、16 选选1等。等。 1. 4选选1数据选择器数据选择器 设设4选选1数据选择器的数据输入端为数据选择器的数据输入端为D0D3,地址输,地址输 入端(选择输入端)为入端(选择输入端)为A1、A0,输出端为,输出端为Y。令。令 A1A0=00

41、时,时,Y= D0;A1A0=01时,时,Y= D1;A1A0=10 时,时,Y= D2;A1A0=11时,时,Y= D3。 4选选1数据选择器的真值表数据选择器的真值表 输输 入入输输 出出 DA1 A0Y D0 D1 D2 D3 0 0 0 1 1 0 1 1 D0 D1 D2 D3 100101 102103 YA A DA A D A A DA A D 如果将地址输入端用其最小项来表示,即用如果将地址输入端用其最小项来表示,即用 表表 示示 , 表示表示 , 表示表示 , 表表 示示 , 则则 0 m 10 A A 1 m 10 A A 2 m 10 A A 3 m 10 A A 10

42、0101102103 YA A DA A DA A DA A D 00112233 3 0 ii i Ym Dm Dm Dm D m D 由于由于mi是地址变量组成的最小项,是地址变量组成的最小项, 因此称为地址最小项。因此称为地址最小项。 D3 D2 D1 D0 A1 A0 E Y 带使能端的带使能端的4选选1数据选择器数据选择器 2. 集成数据选择器集成数据选择器 74LS151是一种集成是一种集成8选选1 数据选择器,其逻辑符号数据选择器,其逻辑符号 图如图所示。它有图如图所示。它有8个数个数 据输入端据输入端D0D7,3个地址个地址 输入端输入端C、B、A(C为高为高 位,位,A为低位

43、),为低位),2个互个互 补输出端补输出端Y和和 ,1个使个使 能端能端 (或)(或) ,低电平,低电平 有效。有效。 W GS 01234 567 0011223344556677 7 0 ()()()()() ()()() ii i YC B A DC B A DC BA DC BA DCB A D CB A DCBA DCBA D m Dm Dm Dm Dm Dm Dm Dm D m D 一个有一个有n位地址输入、位地址输入、2n位数据输入的数据选择器位数据输入的数据选择器 的输出逻辑表达式可以写成的输出逻辑表达式可以写成 21 0 n ii i Ym D 3. 数据选择器的扩展数据选择

44、器的扩展 集成数据选择器的最大规模是集成数据选择器的最大规模是16选选1,如果需要选,如果需要选 择的数据更多时,可以将多个集成数据选择器连择的数据更多时,可以将多个集成数据选择器连 接起来进行扩展。接起来进行扩展。 数据选择器的扩展有两种方法,数据选择器的扩展有两种方法,一种是利用使能一种是利用使能 端进行扩展;另一种是树状扩展端进行扩展;另一种是树状扩展。 利用使能端进行扩展的电路图利用使能端进行扩展的电路图 树状扩展的电路图树状扩展的电路图 4. 数据选择器的应用数据选择器的应用 设数据选择器的地址输入端为设数据选择器的地址输入端为k个,由数据选择器个,由数据选择器 的特点可知其输出为的

45、特点可知其输出为 设组合逻辑函数的输入变量为设组合逻辑函数的输入变量为l个,则组合逻辑函个,则组合逻辑函 数可以表示为数可以表示为 只要将组合逻辑函数的输入变量加至数据选择器只要将组合逻辑函数的输入变量加至数据选择器 的地址输入端,并适当选择的值,使两者相等,的地址输入端,并适当选择的值,使两者相等, 就可以利用数据选择器实现组合逻辑函数。就可以利用数据选择器实现组合逻辑函数。 21 0 k ii i Ym D 21 0 l i i Fm 如果如果l=k,可以直接将逻辑函数输入变量加至数据可以直接将逻辑函数输入变量加至数据 选择器的地址输入端,根据逻辑函数选择器的地址输入端,根据逻辑函数F所需

46、要的所需要的 最小项,确定数据选择器中的值最小项,确定数据选择器中的值(0或或1);如果;如果lk,可以从输入变量中任选可以从输入变量中任选k个加个加 至数据选择器的地址输入端,多余的变量用至数据选择器的地址输入端,多余的变量用 来来 表示。表示。 i D i D 【例【例4-7】 用用8选选1数据选择器数据选择器74LS151实现组合逻实现组合逻 辑函数辑函数 FLMLNLM N 1)(1)(1)( 1)(0)(0)(0)(0)( LMNNLMNML NMLMNLNMLNMLNML NMLLNLMF 01234 567 ()()()()() ()()() YC B A DC B A DC B

47、A DC BA DCB A D CB A DCBA DCBA D 只要令数据选择器只要令数据选择器74LS151的输入的输入C=L,B=M,A=N, D0=D1=D2=D3=0,D4=D5=D6=D7=1,则其输出,则其输出Y就就 是所求的逻辑函数是所求的逻辑函数F。 【例【例4-8】 用用4选选1数据选择器实现三变量组合逻辑数据选择器实现三变量组合逻辑 函数函数 FL M NLM NLMN 解:解:4选选1数据选择器的输出为数据选择器的输出为 100101102103 YA A DA A DA A DA A D ()() 0()() FL M NLM NLMN L MNL MLMNLMN D

48、0=N,D1=0,D2= N,D3= N 1 AL 0 AM 4.3.4 数据分配器数据分配器 数据分配器是一种数据开关,它的逻辑功能与数数据分配器是一种数据开关,它的逻辑功能与数 据选择器的逻辑功能相反,它能够将据选择器的逻辑功能相反,它能够将1个输入数据,个输入数据, 根据需要传送到根据需要传送到m个输出端中的任何个输出端中的任何1个,又被称个,又被称 为多路分配器。数据分配器只有为多路分配器。数据分配器只有1个数据输入端,个数据输入端, 但是有但是有m个输出端,在选择控制信号的作用下可个输出端,在选择控制信号的作用下可 以实现从以实现从m个输出端中选择个输出端中选择1个输出数据,被称为个

49、输出数据,被称为 1路路-m路数据分配器。路数据分配器。 1路路-4路数据分配器逻辑示意图路数据分配器逻辑示意图 010 YA A D 110 YA A D 210 YA A D 310 YA A D 4.3.5 加法器加法器 在数字系统中,尤其是在计算机数字系统中,在数字系统中,尤其是在计算机数字系统中, 算数运算是最基本的功能之一。当两个二进制算数运算是最基本的功能之一。当两个二进制 数进行算术运算时,无论是加、减、乘、除,数进行算术运算时,无论是加、减、乘、除, 都可以化作若干步加法运算来实现,因此,二都可以化作若干步加法运算来实现,因此,二 进制加法运算器是构成算术运算器的基本单元进制

50、加法运算器是构成算术运算器的基本单元 单路。单路。 1. 半加器半加器 半加器是最简单的加法器,它将两个半加器是最简单的加法器,它将两个1位二进制位二进制 数直接相加,而不考虑来自低位的进位。数直接相加,而不考虑来自低位的进位。 半加器的真值表半加器的真值表 SA BABAB COAB 输输 入入输输 出出 A B S CO 0 00 0 0 11 0 1 01 0 1 10 1 逻辑电路图逻辑电路图 逻辑符号图逻辑符号图 2. 全加器全加器 两个多位二进制数相两个多位二进制数相 加时,除了最低位以加时,除了最低位以 外,其它各位都应该外,其它各位都应该 考虑来自低位向本位考虑来自低位向本位

51、的进位。全加器就是的进位。全加器就是 同时将本位加数、被同时将本位加数、被 加数和来自低位进位加数和来自低位进位 进行相加的逻辑器件。进行相加的逻辑器件。 i A i B 1i CI i S i CO 输输 入入输输 出出 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 1 1 1 11 11 1 () iiiiiii iiiiii iiiii COABCIABCI ABCIABCI AB CIAB 11 11 1 iiiiiii iiiiii iii SABCIABCI ABCIABCI AB

52、CI 11 11 1 iiiiiii iiiiii iii SABCIABCI ABCIABCI ABCI 11 11 1 () iiiiiii iiiiii iiiii COABCIABCI ABCIABCI AB CIAB 全加器的逻辑符号图全加器的逻辑符号图 全加器的逻辑电路图全加器的逻辑电路图 1111 11 () () iiiiiiiiiiiii iiiiii SABCIAB CIABCIABCI COABBCIA CI 将将n个全加器级联,即把低位的进位输出端连接到个全加器级联,即把低位的进位输出端连接到 相邻高位的进位输入端,可以实现两个相邻高位的进位输入端,可以实现两个n位二进

53、位二进 制数相加的电路,利用这种方法构成的加法电路制数相加的电路,利用这种方法构成的加法电路 称为串行进位加法器。称为串行进位加法器。 4位串行进位加法器位串行进位加法器 2.2.超前进位加法器超前进位加法器 为了提高速度,若使进位信号不逐级传递,而是运为了提高速度,若使进位信号不逐级传递,而是运 算开始时,即可得到各位的进位信号,采用这个原理算开始时,即可得到各位的进位信号,采用这个原理 构成的加法器,就是超前进位加法器,也称快速进位构成的加法器,就是超前进位加法器,也称快速进位 加法器加法器。 由全加器真值表可知,由全加器真值表可知, 高位的进位信号的产生是高位的进位信号的产生是 在两种情

54、况下:在两种情况下:在在AiBi 1;在在AiBi1且且CIi- 1 1。故向高位的进位信。故向高位的进位信 号为号为 1 () iiiiii COABABCI 3、超前进位加法器、超前进位加法器 i A i B 1i CI i S i CO 输输 入入输输 出出 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 1 1 1 设设GiAiBi为进位生成函数,为进位生成函数,Pi AiBi为进位传递函为进位传递函 数,则上式可写成数,则上式可写成 1 () iiiiii COABABCI 11 11

55、2 11223 1121210 1201 ()() () () () iiiiiii iiiii iiiiiiii iiiiiiiii iii COGP CIGP CO GP GPCI GPGPPGPCI GPGPP GPP PPG PP PP CI 1iiii SABCI 和为:和为: 74LS283的逻辑符号图的逻辑符号图 加数加数 输入端输入端 低位进位输低位进位输 入信号入信号 输入端输入端 被加数被加数 输入端输入端 和和 输出端输出端 向高位进位向高位进位 输出信号输出信号 输出端输出端 4. 加法器的应用加法器的应用 加法器除可以构成加法运算电路外,还可以构成加法器除可以构成加法

56、运算电路外,还可以构成 减法器、乘法器和除法器等多种运算电路。在逻减法器、乘法器和除法器等多种运算电路。在逻 辑设计中,如果要产生的逻辑函数能够化成输入辑设计中,如果要产生的逻辑函数能够化成输入 变量与输入变量或者输入变量与常量在数值上相变量与输入变量或者输入变量与常量在数值上相 加的形式,用加法器往往得到非常简单的设计结加的形式,用加法器往往得到非常简单的设计结 果。果。 【例【例4-9】 试采用全加器试采用全加器74LS283实现余实现余3码码 到到8421 BCD码的转换。码的转换。 解:因为对于同一个十进制数,余解:因为对于同一个十进制数,余3码比相应的码比相应的 8421BCD码多码

57、多3,因此要实现余,因此要实现余3码到码到8421BCD码码 的转换,只需从余的转换,只需从余3码减去码减去3(0011)即可。利用二进即可。利用二进 制补码运算的规则,通过补码的加法运算实现减法制补码运算的规则,通过补码的加法运算实现减法 运算,由于运算,由于0011的补码为的补码为1101,减,减0011同加同加1101等等 效。在全加器效。在全加器74LS283的加数输入端接上余的加数输入端接上余3码的四码的四 位代码位代码DCBA,被加数输入端上接固定代码,被加数输入端上接固定代码1101, 74LS283的输出就是对应的的输出就是对应的8421 BCD码。码。 4.3.6 数值比较器

58、数值比较器 用来比较两个二进制数的数值大小。用来比较两个二进制数的数值大小。 1.1.一位数值比较器一位数值比较器 A、B比较有三种可能结果比较有三种可能结果 () () () (1,0)1, (0,1)1, ( ,01),()() A B A B A B AB ABABYAB AB ABA BYA B AB A BYABAB 则 则 同为 或 2. 多位数值比较器多位数值比较器 比较两个多位数的大小时,应自高向低逐位比较,比较两个多位数的大小时,应自高向低逐位比较, 且只有在高位相等时,才需要比较低位。设且只有在高位相等时,才需要比较低位。设A、B 是两个是两个4位二进制数位二进制数 和和

59、,当比,当比 较较A与与B的大小时,应先比较的大小时,应先比较 和和 。如果。如果 ,不论,不论 、 、 、 、 、 为何值,为何值, 结果为结果为AB;如果;如果 , 不论不论 、 、 、 、 为何值,结果为为何值,结果为AB3 1 0 01 0 0 A3B2 1 0 01 0 0 A3=B3 A2B1 1 0 01 0 0 A3=B3 A2=B2 A1B0 1 0 01 0 0 A3=B3 A2=B2 A1=B1 A0B0 0 1 00 1 0 A3=B3 A2=B2 A1=B1 A0=B01 0 01 0 01 0 01 0 0 A3=B3 A2=B2 A1=B1 A0=B00 1 00

60、 1 00 1 00 1 0 A3=B3 A2=B2 A1=B1 A0=B00 0 10 0 10 0 10 0 1 3 A 3 B 2 A 2 B 1 A 1 B 0 A 0 BA B I A B I A B I A B F A B F A B F 在使用集成数值比较器进行数值比较时应注意区在使用集成数值比较器进行数值比较时应注意区 分是分是TTL集成数值比较器还是集成数值比较器还是CMOS集成数值比集成数值比 较器。对于较器。对于TTL集成数值比较器来说,当使用一集成数值比较器来说,当使用一 片来比较片来比较4位二进制数时,应使级联输入端的位二进制数时,应使级联输入端的 接接1, 和和 接

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论