数字电子技术实验指导书(B5)_第1页
数字电子技术实验指导书(B5)_第2页
数字电子技术实验指导书(B5)_第3页
数字电子技术实验指导书(B5)_第4页
数字电子技术实验指导书(B5)_第5页
已阅读5页,还剩31页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 第一章 数字电子技术基础实验1.1 实验设备认识及门电路功能测试一、实验目的1. 熟悉万用表及电子技术综合实验平台的使用方法; 2. 掌握门电路逻辑功能测试方法;3. 了解TTL器件和CMOS器件的使用注意事项。二、实验原理门电路的逻辑功能。三、实验设备与器件1. 电子技术综合实验平台 一台2. 万用表 一块3. 器件(1) 74LS02 一片(四二输入或非门)(2) 74HC86 一片(四二输入异或门)(3) 74LS03 一片(四二输入与非门(OC))(4) 74LS00 一片(四二输入与非门)四、实验内容和步骤1. 测试74LS02和74HC86的逻辑功能。注意CMOS电路的多余输入端

2、不得悬空,应按需要接成相应的高低电平。表中VO为不加负载时的电压,即开路输出电压。表1.1-174LS0274HC86输入输出输入输出ABYVO(V)ABYVO(V)00110101001101012.OC门上拉电阻计算及逻辑功能测试2.1 OC门上拉电阻的计算OC门输出端可以并联连接,即OC门可以实现“线与”逻辑,但必须接一个合适的上拉电阻RL,计算方法如下: 式中: 负载门总输入端数 OC门并联的个数 负载门个数 IOH OC门输出管截止时的漏电流(对于74LS03按IOH=50mA计算) ILM OC门输出管导通时允许的最大灌电流(按VOL0.3V,ILM7.8mA估算) IIH 负载门

3、每个输入端的高电平输入电流(对于74LS00按IIH=0.01mA) IIL 每个负载门的低电平输入电流(对于74LS00按IIL=-0.25mA估算) VCC 电源电压(5V) VOH 输出高电平(按3V估算) VOL 输出低电平(按0.3V估算)表1.1-2ABCZY1Y2000111 图1.1-12.2 OC门“线与”应用将各OC门输入端A、B和C分别接逻辑开关;Z、Y1和Y2分别接LED指示灯,连接电路图如图1.1-1所示。当输入端A、B和C取不同值时,观察Z、Y1和Y2的变化情况,填入表1.1-2中。五、预习要求1. 阅读实验指导书,了解电子技术综合实验平台的结构;2. 了解所有器件

4、(74LS00,74LS02,74HC86,74LS03)的引脚结构;3. 熟悉门电路的输入和输出特性。4. 熟悉OC门上拉电阻RL的计算方法及逻辑功能,并求出RL的值。5. 了解TTL电路和CMOS电路的使用注意事项。六、思考题1. 试写出图1.1-1中Y1和A、B、C的逻辑关系(设RL取值适当)。2. OC门亦能形成总线结构,试简述TS门和OC门构成总线结构的特点。实验1.2 Multisim软件应用逻辑函数的化简与变换一、实验目的1. 学习使用电子设计与仿真软件Multisim ;2. 学习使用Multisim 中“逻辑转换器”完成逻辑函数的化简与变换。二、实验原理 逻辑函数的表示方法和

5、化简方法。三、实验设备及器件1. 计算机 一台四、实验内容及要求启动Multisim 以后,计算机屏幕上将出现如图1.2-1所示的用户界面。这时电路图设计窗口是空白的。在右侧的仪表工具栏中找到“Logic Converter”(逻辑转换器)按钮 ,单击此按钮后拖拽到电路图设计窗口,然后单击放置在合适位置。双击逻辑转换器图标,屏幕上便会弹出逻辑转换器的操作窗口“Logic Converter XLC1”。图1.2-1 Multisim 用户界面逻辑转换器对于数字信号的分析是非常方便的,它可以通过与电路的连接导出真值表、逻辑表达式,也可以从真值表、逻辑表达式导出电路的连接。控制面板如图1.2-2所

6、示,左侧为真值表输入、显示栏;右侧控制按钮功能自上而下分别为:电路转换为真值表、真值表转换为逻辑表达式、真值表转化为最简逻辑表达式、逻辑表达式转换为真值表、逻辑表达式转换为与、或、非门组成的电路图、逻辑表达式转换为与非门电路图。1. 从真值表、逻辑表达式导出电路图(1) 将表2.15-1所示的真值表键入到逻辑转换器操作窗口左半部分的表格中。如图2.15-2所示,单击A、B、C、D四个按钮启动输入端,Y的值通过单击右边的小问号来选择需要的值。然后点击逻辑转换器操作窗口右半部分的上边第二个按钮,即可完成从真值表到逻辑式的转换。转换结果显示在逻辑转换器操作窗口底部的一栏中,得到 (1.15.1)从本

7、例可知,从真值表转换来的逻辑式是以最小项之和形式给出的。表1.2-1 函数真值表 ABCDY00000000110010000110100001011011010111图1.2-2 把真值表输入逻辑转换器11000010010101001011110011010111011111图1.2-3 将真值表转换为最简逻辑表达式(2)为了将式(1.15.1)化为最简与或形式,只需要点击逻辑转换器操作窗口右半部分上边的第三个按钮,化简结果便立刻出现在操作窗口底部的一栏中,如图1.2-3所示。得到的化简结果为。(3)为了将上述逻辑表达式转化为基本的与、或、非门组成的电路图,只需要点击逻辑转换器操作窗口右半

8、部分上边的第五个按钮,电路图便立刻出现在电路图设计窗口上,如图1.2-4所示。(4)如果将上述逻辑表达式转化为与非门组成的电路图,只需要点击逻辑转换器操作窗口右半部分上边的第六个按钮,电路图便立刻出现在电路图设计窗口上,如图1.2-5所示。(5)如需将某个逻辑表达式转化为真值表,需在逻辑转换器操作窗口的底部一栏中输入逻辑表达式,然后点击逻辑转换器操作窗口右半部分上边的第四个按钮图1.2-4 与、或、非门组成的电路图 图1.2-5 与非门组成的电路图2. 从电路图导出真值表、逻辑表达式如需将某个电路图转化为真值表,需首先将电路中的输入节点连接到逻辑转换器相应的输入节点上,将电路中的输出节点连接到

9、逻辑转换器右上角的输出节点上,如图1.2-6所示。然后点击逻辑转换器操作窗口右半部分上边的第一个按钮,真值表便会在逻辑转换器上显示出来;如需得到此电路图的逻辑表达式,则点击逻辑转换器操作窗口右半部分上边的第二个按钮,如图1.2-7所示。 图1.2-6 电路图与逻辑表达式相连接 图1.2-7 从电路图导出的真值表、逻辑表达式五、预习要求1. 逻辑代数的基本原理及逻辑函数的化简和表示方法;2. 学习软件Multisim 的基本操作方法。六、思考题1. 利用软件Multisim 将下列逻辑表达式转换为最简与或形式,并画出全部由与非逻辑单元组成的逻辑电路图。2. 利用软件Multisim 写出图1.2

10、-8所示逻辑电路的输出逻辑函数式。图1.2-8实验1.3 门电路的电压传输特性和输入负载特性测试一、实验目的1. 掌握门电路的电压传输特性和输入负载特性的测试方法;2. 了解TTL器件和CMOS器件的使用特点。二、实验原理门电路的电压传输特性和输入负载特性。三、实验设备与器件1. 电子技术综合实验平台 一台2. 万用表 两块3. 器件(1) 74LS04 一片(六反向器)(2) 74HC04 一片(六反向器)(3) 74LS00 一片(四二输入与非门)四、实验内容和步骤1. 测试非门的电压传输特性。按图1.3-1连好线路。调节10K电位器,使VI在0+5V间变化,记录相应的输入电压和输出电压的

11、值填入表1.3-1中,并画出相应的电压传输特性曲线。 图1.3-1表1.3-1输入VI(V)00.40.811.422.533.444.6574HC04VO(V)74LS04VO(V)2. 测试四二输入与非门74LS00的输入负载特性。2.1 测试电路如图1.3-2所示。请用万用表测试,将V 随R变化的值填入表1.3-2中。万用表内阻为10M。 图1.3-2表1.3-2R()1002401K4.7K5.1K6.2K10KV(V)2.2 测试电路如图1.3-3所示。请用万用表测试,将V和VO 随R变化的值填入表1.3-3中。注意电压源使用模拟信号源。图1.3-3表1.3-3电路图a图b图c图VI

12、悬空0.2V3.4V0.2V3.4V0.2V3.4V理论值V(V)VO(V)实测值V(V)VO(V)五、预习要求1. 了解所有器件(74LS00,74HC04,74LS04)的引脚结构;2. 熟悉门电路的输入负载特性。六、思考题1. 简述表1.3-3中b图和c图理论值的推导过程。2. 在图1.3-3中,若门电路换成74HC00,试将表1.3-3中的理论值写出来。并简述推导过程。实验1.4 译码器一、实验目的1. 学习并掌握中、小规模芯片(MSI&SSI)实现各种组合逻辑电路的方法;2. 学习格雷码转换二进制代码;3. 学习用低电平驱动LED的方法。二、实验原理1. 流水灯原理流水灯,即使输出端

13、的八个LED发光二极管依次点亮、熄灭,形成流水状。原理如图1.4-1所示。图1.4-1三、实验设备及器件1. 电子技术综合实验平台 一台2. 74HC138 一片(3线8线译码器)3. 74HC86 一片(四二输入异或门)四、实验内容及要求1. 流水灯(1)用异或门将三位格雷码转换为二进制代码。格雷码和二进制代码的对照关系参照表1.4-1。表1.4-1格雷码二进制代码000000001001011010010011110100111101101110100111转换电路由同学们自己完成。连接电路时,先在电路图上标明引脚号再连接电路,这样效率比较高。(2)转换的二进制代码作为三八译码器的输入端,

14、将三八译码器的输出端接显示模块的发光二极管点阵(将显示模块后面发光二极管点阵的跳线全部跳到CP端,变成共阳极)。按格雷码顺序拨动开关即可看到流水灯现象。这部分电路自己完成。要求将设计过程写在实验报告上。五、预习要求1. 提前预习实验内容及相关知识。2. 自行设计电路,画出接线图(用指定器件设计)。六、思考题1简述流水灯实验中输入端采用格雷码的好处。实验1.5 数据选择器一、实验目的1. 学习并掌握中、小规模芯片(MSI&SSI)实现各种组合逻辑电路的方法;2. 了解卡诺图化简中约束项的意义。二、实验原理1. 用门电路及数据选择器设计带约束项组合逻辑电路原理给定逻辑函数,约束条件。2. 化简逻辑

15、函数先将逻辑函数化为与或形式,然后画出卡诺图。图1.5-1 求Di的方法以A、B、C作为地址输入端,求出Di。方法两种,如图1.5-1所示,可按常规方法画出卡诺图求出Di,也可将地址项A、B、C放在一起求出Di。当Di含有约束项时,Di取值将不唯一,可将该项接拨动开关,以随时调整其值。3. 构造逻辑函数的约束项。构造约束项,使约束项的输出端与数据选择器的输出端相与。三、实验设备及器件1. 电子技术综合实验平台 一台2. 74HC00 一片(四二输入与非门)3. 74HC151 一片(八选一数据选择器)4. 74HC32(可选) 一片(四二输入或门)5. 74HC51(可选) 一片(3-3、2-

16、2输入与或非门)6. 74HC08(可选) 一片(四2输入与门)四、实验内容及要求1. 数据选择器设计带约束项组合逻辑电路(1)选定A、B、C为地址输入端,画出卡诺图,求出Di。当Di不能固定时,可通过拨动开关来选择。(2)设计约束电路。方法一是将约束电路的输出端与数据选择器的输出端相与,此处可通过与或非门来实现。方法二是将约束电路的输出端接入数据选择器的控制端来实现,此处可通过与或门来实现。原理如图1.5-2所示。图1.5-2 用数据选择器实现带约束项逻辑函数原理图 (3)改变Di中不确定值的逻辑状态,看一下对输出值是否有影响。体会一下什么是约束。五、预习要求1. 提前预习实验内容及相关知识

17、。2. 自行设计电路,画出接线图(用指定器件设计)。六、思考题1. 简述数据选择器的工作原理。实验1.6 代码转换显示实验一、实验目的1. 学习并掌握中、小规模芯片(MSI&SSI)实现各种组合逻辑电路的方法;2. 学习二进制代码转换8421BCD码;3. 学习数码管显示的方法。二、实验原理1. 代码转换将四位二进制代码转换为5位8421BCD代码。2. 代码显示将输出的8421BCD码通过显示译码器74LS48显示。三、实验设备及器件 1. 电子技术综合实验平台 一台 2. 74LS85 一片(数值比较器) 3. 74LS283 一片(超前进位加法器)四、实验内容及要求1. 测试74LS85

18、的逻辑功能表1.6-12. 代码转换将四位二进制代码转换为5位8421BCD代码。真值表见表1.6-2所示。由表1.6-2可以看到,二进制代码在09时,8421BCD码与二进制代码相同,当二进制代码在1015时,8421BCD码等于二进制代码加6。F4为产生的进位。因此可利用数值比较器和加法器实现上述的转换过程。表1.6-2二进制代码8421BCD码二进制代码8421BCD码D3D2D1D0F4F3F2F1F0D3D2D1D0F4F3F2F1F0000000000100001000000100001100101001001000010101010000001100011101110001010

19、0001001100100100101001011101100110110001101110101000111001111111101013. 代码显示将得到的8421BCD码接到译码显示模块,使用之前先熟悉一下74LS48的功能。验证、和的功能(在模块上改变相应引脚跳线的位置即可)。译码显示模块如图4-4-1所示,其中L1L4为左侧数码管的输入数据接口,R1R4为右侧数码管的输入数据接口,8421BCD码的低四位接R4R1,高位接L1,其余L2L4接地。这样就可将输入的四位二进制代码转换为8421BCD码并用数码管显示了。控制管脚说明如下:(1)控制引脚中L代表左,R代表右。图1.6-1(2

20、)LT引脚:跳线帽跳到左边接高电平1,跳到右边接低电平0。(3)RBI引脚:跳线帽跳到左边接高电平1,跳到右边接低电平0。(4)BI/RBO引脚:当作为输入引脚BI时,跳线帽跳到上边接低电平0,跳到下边接高电平1。当作为输出引脚RBO使用时,跳线帽跳到中间,左边的香蕉头为其接入孔。五、预习要求1. 提前预习实验内容及相关知识。2. 自行设计电路,画出接线图(用指定器件设计)。六、思考题1简述共阴极数码管的显示原理。2简述显示译码器控制端的功能及使用方法。实验1.7 编码器实验一、实验目的1. 学习并掌握集成编码器74HC148;2. 学习组合电路尤其是输入或输出含有低电平有效信号的组合逻辑电路

21、的设计方法。二、实验原理设计列车发车系统,给出发车信号。要求:动车组申请发车时,不管特快、快车、普快是否申请发车,只允许给动车组发车信号;动车组没有申请发车,若特快申请时,不管快车、普快是否申请,只允许给特快发车信号;动车组和特快都没有申请发车,若快车申请时,不管普快是否申请,只允许给快车发车信号;动车组、特快、快车都没有申请发车,只有普快申请时,才允许给普快发车信号;当动车组、特快、快车和普快都没有申请发车时,没有任何发车信号。原理框图如图1.7-1所示:图1.7-1三、实验设备及器件 1. 电子技术综合实验平台 一台 2. 74HC148 一片(优先编码器) 3. 可选芯片:(1)输出高电

22、平有效时:74HC04、74HC08、74HC11(2)输出低电平有效时:74HC04、74HC32四、实验内容及要求由于只有四种火车,即四个输入信号,故只需74HC148的4个输入即可,此处四种火车的申请按钮分别接(四种输入情况只需两个输出引脚),只需和两位输出即可。 当分别输入为0时,输出分别为00,01,10,11。注意:选用时,引脚接高、低电平均可;选用时,引脚必须接成1。实验一:以代表输出的4个指示灯,高电平有效,列出与的逻辑表达式,这部分同学们自己完成。当四种火车申请的按钮均未按下时,四个指示灯应全不亮,74HC148的输出端应为11,与普快按下按钮的状态相同,需加以区分,可利用输

23、出控制端或来实现。这部分电路同学们自己完成。发车信号用LED显示,实验时,需将显示模块背面的跳线全部跳到CN端。实验二:以代表输出的4个指示灯,低电平有效,可得与的逻辑表达式,这部分同学们自己完成。同实验一一样,当四种火车申请的按钮均未按下时,与普快按下按钮的状态相同,需加以区分。方法同实验一。实验时,需将显示模块背面的跳线全部跳到CP端。五、预习要求1. 提前预习实验内容及相关知识。2. 写出完整的推导过程,自行设计电路,画出接线图(用指定器件设计)。六、思考题1真值表如表1.7-1所示,试写出逻辑表达式。表1.7-1ABY 001010101111实验1.8 抢答器实验一、实验目的1. 掌

24、握集成触发器的逻辑功能测试方法;2. 学习用D触发器构成时序逻辑电路的方法;3. 学习扬声器的驱动方法。二、实验原理1. 每个参赛者控制一个按钮,用按动按钮的方式发出抢答信号;2. 竞赛主持人另有一个按钮,用于将电路复位。3. 竞赛开始后,先按动按钮者将对应的一个发光二极管点亮,同时扬声器发出响声,此后其他2人再按动按钮对电路不起作用。4*有人抢答时扬声器发出2秒钟、1KHZ的音响(扬声器可由100Hz的矩形脉冲直接驱动)。(选做)基本要求部分参考电路如图1.8-1所示。图1.8-1图1.8-1设置了四个按钮,K0、K1和K2由三个参赛控制者控制(按下为“1”),J由主持人控制(按下为“0”)

25、。扬声器的使用方法:左下角两个跳线帽同时跳到左边,实现普通声源的功能,需要在输入端加脉冲才能让扬声器发声;左下角两个跳线帽同时跳到右边,实现报警声源的功能,在输入端加高电平就能让扬声器发声。实验时,两种方法用一种即可。(1)竞赛开始前,主持人按一下按钮J,使三个触发器均清零(指示灯不亮),各端均为1,这三个1信号一方面控制扬声器不发声,另一方面使G1门打开门,CLK脉冲可以加到各触发器的脉冲输入端C1端。(2)竞赛开始,任一按钮按下,相应触发器置1(相应指示灯亮),其,该0信号一方面使扬声器发声,另一方面封锁G1门,CLK脉冲加不到各触发器的C1端,其他参赛者再按下按钮已经不起作用。(3)主持

26、人按下按钮J,给出信号,恢复抢答前的状态。三、实验设备及器件 1. 电子技术综合实验平台 一台 2. 74HC175 一片(四D触发器) 3. 74HC20 一片(二4输入与非门)四、实验内容及要求1. D触发器逻辑功能测试将CLK接窄脉冲输出端,和1D端节逻辑开关,1Q端接LED指示灯。实验数据写入表1.8-1。 表1.8-1 CLKDQQ*Q01001011101012. 抢答器(1)按图1.8-1接线。(2)将K0、K1、K2和J分别接到逻辑开关上。(3)按照设计要求,检查电路的功能。填写表1.8-2。表1.8-2JK0K1K2Q0Q1Q2扬声器0100011(先)11(先)11(先)五

27、、预习要求1. 提前预习实验内容及相关知识。六、思考题1. 如果要显示抢答优先者的序号(0、1和2)应该用什么芯片?2. 在抢答前后,触发器的C1端分别得到的是什么信号?实验1.9 时序电路实验一、实验目的1. 掌握边沿JKFF的功能、动作特点;2. 掌握用边沿JKFF设计同步时序电路的方法;3. 掌握用中规模集成电路计数器设计和实现任意进制计数器的方法; 4. 熟悉集成计数器的逻辑功能和各控制端的作用,弄清同步清零和异步清零的区别;5. 熟悉集成计数器的级联扩展; 二、实验原理同步时序逻辑电路的设计方法。三、实验内容及要求1. 用双J-K负边沿触发器74LS112实现同步时序电路。其逻辑功能

28、为:同步十进制加法计数器,能自启动,有进位输出。设计过程同学们自己完成。2. 用同步二进制计数器74HC161实现36进制计数器,要求使用同步置数端,整体置数实现。电路图同学们自己完成。四、实验设备及器件1. 数字电路实验逻辑箱 一台2. 74LS112 两片(双JK负边沿触发器)3. 74LS20 两片(双四输入与非门)4. 74LS04 一片(六反向器)5. 74LS00 一片(四二输入与非门)6. 74HC161 二片(同步十进制计数器芯片)7. 74HC00 一片(四二输入与非门)五、预习要求1. 提前预习实验内容及相关知识;2. 课前按实验内容完成题目设计:画出实验电路图。(主要设计

29、过程要填写在实验报告中)六、思考题1. 若想实现100进制的计数器,则需多少个触发器?2. 若用两个六进制计数器实现36进制计数器,如何实现?芯片采用74HC161,可辅助其它门电路,画出原理图。实验1.10 顺序脉冲发生实验一、实验目的1. 学习顺序脉冲信号产生的方法;2. 学习计数器、译码器的使用方法。3. 学习用低电平驱动LED的方法。二、实验原理1. 流水灯原理在一些数字系统中,有时需要系统按照事先规定的顺序进行一系列的操作。这就要求系统的控制部分能给出一组在时间上有一定先后顺序的脉冲信号,再用这组脉冲形成所需要的各种控制信号。常用的方法一般有两种:采用环形计数器形成移位寄存器构成;采

30、用计数器和译码器构成。流水灯,即多个LED发光二极管依次点亮、熄灭,形成流水状。当输出信号为顺序脉冲时就能形成流水灯。本实验采用第二种方案,原理框图如图1.10-1所示。图1.10-1三、实验设备及器件1. 电子技术综合实验平台 一台2. 74HC138 一片(3线8线译码器)3. 74HC161 一片(四位二进制加法计数器)四、实验内容及要求用74HC161接成一个八进制计数器,脉冲信号使用秒脉冲,将输出端的低三位接入三八译码器的地址端,译码器的输出端接LED即可。电路由同学们自己画出。五、预习要求1. 提前预习实验内容及相关知识。2. 自行设计电路,画出接线图(用指定器件设计)。六、思考题

31、若想实现十六个灯的流水灯,电路将怎样实现,画出原理图?实验1.11 序列信号发生实验一、实验目的1. 学习序列信号产生的方法;2. 学习计数器和数据选择器的使用方法。二、实验原理1. 序列脉冲发生原理在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号。通常将这种数字信号称为序列信号。产生序列信号的电路称为序列信号发生器。常用的序列信号发生器由计数器和数据选择器组成,亦可用带反馈逻辑电路的移位寄存器组成。本实验采用前一种方案,实验内容为节日彩灯,原理框图如图1.11-1所示:图1.11-1三、实验设备及器件1. 电子技术综合实验平台 一台2. 74HC151 三片(八选一数据

32、选择器) 3. 74HC161 一片(四位二进制加法计数器)4. 74HC04 一片(六非门)四、实验内容及要求表1.11-1 表1.11-2CLK顺序红绿黄Q3Q2Q1Q0RGY00000000000110000011002110001011031110011111401101000115001010100160000110000711101111118000100000090011001001100111010011111111011111121101100110131001101100140001110000151111111111三个LED组成的节日彩灯,灯亮的顺序如表1.11-1所示

33、。用74HC161组成一个十六进制计数器,CLK端接在微动开关上。以计数器的输出端为输入,建立真值表如表1.11-2所示。列出输出函数R、G和Y的表达式,用三个八选一数据选择器实现。电路由同学们自己画出。五、预习要求1. 提前预习实验内容及相关知识。2. 自行设计电路,画出接线图(用指定器件设计)。六、思考题如何确定所用电路应选用多少选一的数据选择器?实验1.12 可变计数器和分频器实验一、实验目的1. 学习实现可变计数的的方法;2. 学习模数转换的原理和芯片使用方法;3. 学习计数器分频的原理。二、实验原理在任意进制计数器的实现方法中,以74HC161为例,有一种利用进位输出端C和预置数端来

34、实现的,只需在C端加一个非门连到端,并在输入端给某一个初值,就能实现计数。改变不同的初值,就能得到不同进制的计数器,即可变计数器。为达到连续可调,可用模拟信号做变量,而计数器的初值是数字信号,因此需要采用模数转换,将模拟信号转换为数字信号,作为计数器的初值。原理框图如图1.12-1所示。模拟初值模数转换时钟信号计数器显示数字初值图1.12-1 可变计数器实现原理三、实验设备及器件1. 电子技术综合实验平台 一台2. ADC0809 8路8位模数转换芯片3. 74HC138 一片(3线8线译码器)4. 74HC161 一片(四位二进制加法计数器)四、实验内容及要求1. 计数器部分计数器的连接方式

35、如图1.12-2所示。改变不同的初值,就能得到不同进制的计数器,即可变计数器。图1.12-22. 模数转换部分模数转换部分采用ADC0809。ADC0809是单片CMOS数据采集器件,8位8通道复用。8位A/D转换器的转换技术为逐次逼近法。具有一个高输入阻抗的比较器。一个具有模拟开关树的分压电阻阵列,以便逼近输入电压。器件不需要外部调零或满量程调整。需要注意的是,当初值变大时,计数周期变短,因此,输入模拟信号量值变大,计数进制变小。3*. 提高部分加上显示部分,用数码管能实时显示进制数。五、预习要求1. 提前预习实验内容及相关知识。六、思考题如何改进电路,使输入模拟信号量值变大,计数进制也变大

36、?实验1.13 多谐振荡器和定时器实验一、实验目的1. 学习555的基本原理和常用扩展功能;2. 学习555构成压控振荡器和多谐振荡器的方法;二、实验原理图1.13-1是用两片555构成的救护车音响电路。图1.13-1 救护车音响电路第一片555组成多谐振荡器;第二片555组成压控振荡器。用第一片555输出的高、低电平控制第二片的555产生两种不同频率的输出矩形波,适当调节Rw1和Rw2,就可以听到喇叭发出“的嘟,的嘟”的声音。三、实验设备及器件1. 电子技术综合实验平台 一台2. 555定时器 两片3. 双踪示波器 一台四、实验内容及要求按图1.13-1连线,调节Rw1和Rw2使喇叭发出“的

37、嘟,的嘟”的声音。用万用表测量vO1的高、低电平幅值及对应的vI2幅值。用示波器或秒表测量vO1的高低电平持续时间tPH1和tPL1。用示波器测量vO1为高电平时,vO的高、低电平持续时间tPH21和tPL21;测量vO1为低电平时,vO的高、低电平持续时间tPH22和tPL22;测量的高、低电平幅值及发出“的嘟”声音时的两个频率fo21和fo22。把相应的值填到表1.13-1中。表1.13-1vO1高电平幅值/VvO1HMvI2高电平幅值/VvI2HMvO高电平幅值/VvO2HM持续时间tPH21/ms持续时间tPH1/ms持续时间/ms低电平幅值/VvO2LM持续时间tPL21/ms低电平

38、幅值/VvO1LM低电平幅值/Vv2LMvO高电平幅值/VvO2HM持续时间tPH22/ms持续时间tPL1/ms持续时间/ms低电平幅值/VvO2LM持续时间tPL22/ms注:上表中,第一格填理论值,第二格填实测值。五、预习要求1. 提前预习555定时器的内部结构及原理;2. 提前预习多谐振荡器和压控振荡器的原理;3. 熟悉多谐振荡器频率的计算方法。六、思考题1. 第一块555定时器输出vO1为低电平时,为什么vI2不是低电平?2. 写出vO的频率f与vI2的关系表达式。实验1.14 脉冲计数器实验一、实验目的1. 学习555定时器组成单稳态触发器的方法;2. 学习计数器的原理和使用方法;

39、3. 学习脉冲计数的原理。二、实验原理在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频,即在一定闸门时间内测量被测信号的脉冲个数;若闸门时间选择1秒,则在闸门时间内测得的脉冲个数即为频率;若闸门时间选择0.1秒,则在闸门时间内测得的脉冲个数乘以10即为频率;以此类推,原理框图如图1.14-1所示。图1.14-1 脉冲计数器原理图三、实验设备及器件1. 电子技术综合实验平台

40、 一台2. 555定时器 两片3. 双踪示波器 一台4. 计数器74HC160 两片5. 74HC08 一片6. 电容、电阻 若干四、实验内容及要求频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其它信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则测一次频率的间隔就越长。由555 定时器组成单稳态触发器,使其产生固定宽度T=0.1s的方波脉冲做门控制信号,即闸门时间。宽度为T的方波脉冲控制闸门的一个输入端B,被测信号频率为fx(以1KHz100KHz的脉冲信号为测试

41、信号),连接到闸门另一输入端A。当单稳态触发器产生的门控信号到来时,闸门开启,频率为fx的信号脉冲于输出端C 产生脉冲信号到计数器(要求用所提供的芯片接成100进制的计数器),计数器开始工作,直到门控信号结束,闸门关闭,计数器停止计数。结果S输送显示器,这样就可以得到被测信号的数字显示的频率。可在启动信号启动时将计数器同时清零,以防止计数累加。根据所设定的时间基准算出频率fx=D/T。五、预习要求1. 提前预习555定时器的内部结构及原理;2. 提前预习计数器的使用方法。六、思考题1. 根据上面给定的测试信号范围,计算系统的测量误差。2. 在上题的误差水平下,如何实现更大范围脉冲信号的频率测定

42、。实验1.15 数模转换实验一、实验目的1. 学习使用大规模集成电路,了解D/A转换原理;2. 学习使用D/A转换器构成锯齿波发生器的方法。二、实验原理1、DAC0832简介DAC0832功能框图如图1.15-1所示,它由8位输入寄存器、8位DAC寄存器、8位D/A转换器及转换控制电路组成。其中8位D/A转换器采用R-2R梯形电阻网络。图1.15-1 DAC0832功能框图由于使用了两个寄存器,所以可以进行两次缓冲操作,使该器件的使用具有更大的灵活性。它可以直接与微处理机的总线相接而无需附加逻辑。当输入锁存信号ILE、片选信号和写控制信号同时有效时,数据总线上的数据D7D0存入输入寄存器。当传

43、输控制信号和写控制信号同时有效时,输入寄存器的内容送入DAC寄存器,同时转换开始,经1s后在输出端便可得到稳定的电流输出。利用DAC0832实现D/A转换,必须外接一个运算放大器,根据实际应用,DAC0832有三种不同的接法,图1.15-2中右侧数/模转换部分采用的是直通型接法。2.利用DAC0832构成锯齿波发生器利用DAC0832构成的锯齿波发生器如图1.15-2所示。其中DAC0832和运算放大器mA741组成直通型接法的D/A转换器,实现由D7D0输入一组数字量就会在mA741的UO端得到对应的模拟量输出的功能。两片74HC161组成8位二进制计数器,即在CLK脉冲作用下进行256进制

44、计数,将这256个由小到大变化的数字量自动分别加到D/A转换器D7D0的输入端,就会在其输出端得到256种模拟电压输出,当CP脉冲频率很高时,输出模拟信号电压组成的阶梯波看起来就是锯齿波。图1.15-2 锯齿波发生器三、实验设备及器件1. 电子技术综合实验平台 一台2. 双踪示波器 一台3. 74HC161 两片4. DAC0832 一片5. mA741 一片四、实验内容及要求1. 验证直通型D/A转换器功能(1)将DAC0832和运算放大器mA741按图1.15-2右侧部分接线组成直通型D/A转换器。(2)将DAC0832 的数字量输入端D7D0分别接到逻辑开关上,按表1.15-1置数,测量对应的输出模拟量,填入表1.15-1中。表1.15-1 D/A转换器功能表输入数字量输出模拟量UOD7D6D5D4D3D2D1D0实测值理论值0000000000000001000000110000011100001111000111110011111101111111111111112. 用2片74HC161组成256进制计数器(1) 按图1.1

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论