




免费预览已结束,剩余5页可下载查看
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
实验六8路彩灯控制实验实验报告实验6 彩灯控制实验1.设计8路彩灯控制电路,并用MAXPLUS进行仿真,将结果下载到实验箱中,测试电路的正确性。要求:设计一个8路彩灯控制电路,实现8位LED每隔1秒全亮、全灭、逐个点亮,延时间隔可由外部增和减按键进行调节,同时将延时间隔显示在数码管上。注:其中彩灯的样式可以自己进行定义注意:外部时钟信号由实验箱的信号源提供,需要设计相应的分频电路进行分频,以获得1Hz的时钟信号2.应包含VHDL源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析一、实验分析1、彩灯动作:逐个点亮(自左向右)至全亮全灭全亮全灭逐个点亮,时间间隔1S,可通过按键进行调节。2、本实验由四个模块组成:四频率输出分频器,四选一频率选择器,彩灯控制器和数字频率计。四选一频率选择器从分频器中选择不同频率的时钟信号输送到彩灯控制器,从而达到控制彩灯闪烁速度的快慢的变换。控制过程如下图:灯1灯2灯3灯4灯5灯6灯7灯8频率选择器彩灯控制高电平脉冲分频器时钟信号数字频率计1) rst为低电平复位信号,为低电平时,8盏灯保持全灭的状态;输入信号为一个时钟信号,经过分频器分频之后产生频率不同的四个时钟信号。2) 频率选择器可以通过按键输入高电平脉冲来实现四种频率的循环选择。3) 彩灯控制模块实现多彩灯花样循环的控制,时间间隔有频率选择器的输出频率决定。2、 VHDL源程序1、四频率输出分频器根据要求有4种速度的变化,而只有一个输入的时钟信号,所以要对输入的时钟信号进行分频,本次设计采用了二分频、四分频、八分频和16分频得到4种频率信号。二分频VHDL代码如下。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fen2 ISPORT(clk,rst: IN std_logic; clk1:OUT std_logic);END fen2;ARCHITECTURE behav OF fen2 ISBEGINPROCESS(clk,rst)VARIABLE q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF rst=0THEN q1:=(OTHERS=0); ELSIF clkevent AND clk=1THEN IF q10); END IF;END IF;IF q1=0001 THEN clk1=1; ELSE clk1=0;END IF;END PROCESS;END behav;四频率输出分频器如下图:模块元件符号如下图:时序仿真图:2、四选一频率选择器四选一频率选择器控的功能是从分频器中选择不同的时钟信号送给彩灯控制器,实现彩灯闪烁频率的变化,VHDL代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sixuanyi isport(f_choose,rst,clk1,clk2,clk3,clk4:in std_logic; z:out std_logic);end sixuanyi;architecture behave of sixuanyi issignal s:std_logic_vector( 1 downto 0);beginone:process(f_choose)beginif rst=0 then s=00;elsif f_chooseevent and f_choose=1thenszzzzz=null;end case;end process two;end behave;模块元件符号如下图:f_choose为频率选择端,每输入一次高脉冲,频率便改变一次,可以通过按键输入高脉冲来实现四种频率的循环选择。时序仿真图如下:3、 彩灯控制器彩灯动作:逐个点亮(自左向右)至全亮全灭全亮全灭逐个点亮,时间间隔1S,可通过按键进行调节。彩灯控制器电路是整个设计的核心,它控制整个设计的输出效果也就是图案的样式变化。其中用1代表灯亮,用O代表灯灭,由0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,以实现多种图案及多种频率的花样功能显示。该程序充分证明了用VHDL设计电路的灵活性,即可以通过改变程序中输出变量的位数来改变彩灯的数目。彩灯动作:逐个点亮(自左向右)至全亮全灭全亮全灭逐个点亮,时间间隔1S,可通过按键进行调节。VHDL源程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng ISPORT(clk,rst :IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END;ARCHITECTURE huayang OF caideng ISSIGNAL s:std_logic_vector(4 DOWNTO 0);BEGINPROCESS(s,clk)BEGINIF rst=0 THEN s=00000;ELSIF clkevent AND clk= 1 THENIF s=11111 THENs=00000;ELSE sqqqqqqqqqqqqqqqqqqqqqqNULL;END CASE;END IF;END PROCESS;END ;模块元件符号如下:仿真时序图如下:4、 数字频率计 部分源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DF_meter ISPORT(start:IN STD_LOGIC; clk: IN STD_LOGIC; clk1:IN STD_LOGIC; yy1:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); w1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END DF_meter;ARCHITECTURE behave OF DF_meter ISSIGNAL b1,b2,b3,b4,b5,b6,b7:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL BCD:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL SCO:integer range 0 to 49999999;SIGNAL SSCO:integer range 0 to 49999999;SIGNAL EN,bclk:STD_LOGIC;SIGNAL SSS:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL BCD0,BCD1,BCD2,BCD3:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINSECOND:PROCESS(clk)BEGINIF start=1 THEN SCO=0;ELSIF clkevent AND clk=1 THENIF SCO49999999 THEN SCO=SCO+1;ELSE SCO=49999999;END IF;END IF;IF SCO49999999 AND start=0 THEN EN=1;ELSE EN=0;END IF;END PROCESS;and2:PROCESS(EN,clk1)BEGINbclk=clk1 AND EN;END PROCESS;END behave;模块元件符号:5、 顶层文件原理图:时序仿真图: 由时序仿真图可知,此设计符合实验要求,在上述顶层文件原理图中,F
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024年黄石市招聘森林消防专业值班人员考试真题
- 2025至2030年缝纫机零配件项目投资价值分析报告
- 2025至2030年童装茄克项目投资价值分析报告
- 雨季三防培训内容
- 2025至2030年电脑全自动牵引主机项目投资价值分析报告
- 2025至2030年电加热搪玻璃反应罐项目投资价值分析报告
- 风险分级管控四色图解读培训1
- 2025至2030年烟嘴项目投资价值分析报告
- 员工安全手册
- 第7章班组的控制工作
- 2025年03月如东县事业单位工作人员120人笔试历年典型考题(历年真题考点)解题思路附带答案详解
- 槟榔合作协议合同
- 欢乐购物街(教案)-2024-2025学年一年级下册数学人教版
- 【9物一模】2025年安徽省合肥市蜀山区九年级中考一模物理试卷(含答案)
- Unit5Whatwereyoudoingwhentherainstormcame?SectionB1a-1d课件人教版八年级英语下册
- GB/T 45255-2025公共信用综合评价规范
- 湖北省武汉市青山区2023-2024学年八年级下学期物理期中试题(含答案)
- 能源专业考试试题及答案
- 主题班会课件-《花开应有时》预防早恋男女交往
- 安徽省天一大联考2025届高三3月调研考试语文含答案
- 2025山西地质集团招聘37人笔试参考题库附带答案详解
评论
0/150
提交评论