毕业设计(论文)-DVB系统编解码技术的研究与实现.doc_第1页
毕业设计(论文)-DVB系统编解码技术的研究与实现.doc_第2页
毕业设计(论文)-DVB系统编解码技术的研究与实现.doc_第3页
毕业设计(论文)-DVB系统编解码技术的研究与实现.doc_第4页
毕业设计(论文)-DVB系统编解码技术的研究与实现.doc_第5页
已阅读5页,还剩52页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

I 目 录 摘 要.II ABSTRACT.IV 第 1 章 绪 论.- 1 - 1.1 数字电视简介.- 1 - 1.2 DVB 标准的发展及现状.- 3 - 1.3 SYSTEM VIEW仿真系统简介.- 6 - 第 2 章 DVB-S 信道传输系统.- 7 - 2.1 DVB-S 系统传输过程.- 7 - 2.2 系统中的模块仿真.- 18 - 第 3 章 DVB-C 信道传输系统.- 25 - 3.1 DVB-C 系统简介.- 25 - 3.2 欧洲 DVB-C 传输系统.- 27 - 3.3 QAM 调制解调模块的仿真.- 31 - 第 4 章 DVB-T 信道传输系统.- 35 - 4.1 DVB-T 系统的信道特性.- 35 - 4.2 DVB-T 传输系统.- 36 - 4.3 COFDM 简介.- 41 - 4.4 在 SYSTEM VIEW平台上仿真端对端 DVB 系统.- 45 - 参考文献.- 49 - 致 谢.- 51 - II DVB 系统编解码技术的研究与实现 摘 要 随着通信技术、计算机技术、数字处理技术及图像压缩技术的迅猛发展,模拟电视 正逐步向全数字高清晰度电视(HDTV)过渡。欧洲广播联盟提出的数字视频广播标准即DVB 系列标准,融合了先进的信息处理技术,得到了许多国家的支持,我国也采用了该标准。 信道编码是传输过程中的关键部分,本文介绍了DVB-S(数字卫星电视广播)、DVB- C(数字电视有线广播)和DVB-T(数字电视地面广播)的传输原理,并对DVB在信道传输 过程中所涉及到的如RS编解码、卷积交织与解交织、QAM调制解调等模块进行了设计,并 在System View平台上对以上模块及端对端DVB系统进行了电路搭建与仿真。 关键词:数字视频广播,RS编码,System View,COFDM III IV DVB system codec technology Research and Implementation Abstract As communications technology, computer technology, digital image processing technology and the rapid development of compression technology, analog TV is gradually to all-digital high- definition television (HDTV) transition. The European Broadcasting Unions Digital Video Broadcasting DVB standard that the series of standards, integration of advanced information processing technology, has the support of many countries, China has adopted the standards. Channel coding in the course of transmission is the key part of this paper, DVB-S (digital satellite television broadcasting), DVB-C (digital cable TV broadcasting) and DVB-T (terrestrial digital TV broadcasting) transmission principle, and in the DVB Channel transmission involved in the process such as the RS to the codec, intertwined with the convolution of the intertwined, QAM modem modules for the design and System View on the above platform module and end- to-end DVB system circuit structures And simulation. Key words: Digital Video Broadcasting, RS coding, System View, COFDM V - 1 - 第 1 章 绪 论 1.1 数字电视简介 1.1.1 数字电视概念及分类 所谓数字电视,严格地说就是从信源开始,将图像画面的每一个像素、伴音的每一 个音节都用二进制编码成多位数据,在经过高效的信源压缩编码和前向纠错编码、交织 与调制等措施的处理后,以非常高的比特率进行码流发射、传输和接收的系统工程。仅 在接收端的显像管和扬声器的输入端,分别得到经数模转换后的模拟视频信号和模拟环 绕立体声音频信号。相对于传统模拟广播电视,数字电视具有图像质量高,频道利用率 高等优点,它代表着现代电视技术的发展潮流,因而正日益成为现代电视系统的主流。 按图像清晰度分类,数字电视包括数字高清晰度电视(HDTV)、数字标准清晰度电视 (SDTV)和数字普通清晰度电视(LDTV)三种。HDTV的图像水平清晰度大于800线,图象质量 可达到或接近35mm宽银幕电影的水平;SDTV的图像水平清晰度大于500线,主要是对应现 有电视的分辨率量级,其图象质量为演播室水平;LDTV的图像水平清晰度为200-300线, 主要是对应现有VCD的分辨率量级。 按信号传输方式分类,数字电视可分为地面无线传输数字电视(地面数字电视)、卫 星传输数字电视(卫星数字电视)、有线传输数字电视(有线数字电视)三类。 按照产品类型分类,数字电视可分为数字电视显示器、数字电视机顶盒和一体化数 字电视接收机。 按显示屏幕幅型比分类,数字电视可分为43幅型比和169幅型比两 种类型。 数字电视的发展大致可以分为三个阶段。 第一个阶段为个别电视设备的数字化阶段。例如摄像机信号处理部分的数字化、电 视制式转换器与数字特技等。 第二个阶段为全功能数字电视演播室阶段。在数字演播室中,电视信号从摄像机输 出到后期制作完全是在数字环境下进行的。 第三个阶段为数字电视广播阶段。数字电视广播实现了数字电视信号的直接发射和 接收,这一步完成了整个电视系统的数字化,意味着电视节目的拍摄、记录、后期加工、 编辑制作、存储、交换、分配发送以及接收等环节,都是在全数字环境下进行的。 1.1.2 数字电视系统的关键技术及标准 1、数字电视的信源编解码技术 - 2 - 视频编解码技术:数字电视尤其数字高清晰度电视与模拟电视相比,在实现过程中, 最为困难的部分就是对视频信号的压缩。在 19201080 显示格式下,数字化后的码率在 传输中高达 995Mbit/s,这比现行模拟电视的传输信息量大得多。因而数字电视的图像不 能像模拟电视的图像那样直接传输,而是要多一道压缩编码工序。视频编码技术主要功 能是完成图像的压缩,使数字电视的信号传输量由 995Mbit/s 减少为 2030Mbit/s。 音 频编解码技术:与视频编解码相同,音频编解码主要功能是完成声音信息的压缩。声音 信号数字化后,信息量比模拟传输状态大得多。 信源编解码的相关标准:国际上对数字图像编码曾制订了三种标准,分别是主要用 于电视会议的 H.261 主要用于静止图像的 JPMG 标准和主要用于连续图像的 MPEG 标准。 在 HDTV 视频压缩编解码标准方面,美国、欧洲和日本没有分歧,都采用 MPEG-2 标 准。MPEG 压缩后的信息可以供计算机处理,也可以在现有和将来的电视广播频道中进行 分配。在音频编码方面,欧洲、日本采用了 MPEG-2 标准;美国采纳了杜比(Dolby)公司 的 AC-3 方案,MPEG-2 为备用方案。但随着技术的进步,1994 年完成的 MPEG-2 随着技术 的进步现在显得越来越落后,国际上正在考虑用 MPEG-4 AVC 来代替目前的 MPEG-2。 中国方面,中国的数字音视频编解码标准工作组制定了面向数字电视和高清激光视 盘播放机的 AVS 标准。该标准据称具有自主知识产权,与 MPEG-2 标准完全兼容,也可以 兼容 MPEG-4 AVC/ H.264 国际标准基本层,其压缩水平据称可达到 MPEG-2 标准的 2-3 倍, 而与 MPEG-4 AVC 相比,AVS 更加简洁的设计降低了芯片实现的复杂度。 2、数字电视的复用系统 数字电视的复用系统是 HDTV 的关键部分之一。从发送端信息的流向来看,它将视频、 音频、辅助数据等编码器送来的数据比特流,经处理复合成单路串行的比特流,送给信 道编码及调制。接受端与此过程正好相反。在 HDTV 复用传输标准方面,美国、欧洲、日 本没有分歧,都采用了 MPEG-2 标准。美国已有 MPEG-2 解复用的专用芯片。 3、数字电视的信道编解码及调制解调 数字电视信道编解码及调制解调的目的是通过纠错编码、网格编码、均衡等技术提 高信号的抗干扰能力,通过调制把传输信号放在载波或脉冲串上,为发射做好准备。目 前所说的各国数字电视的制式,标准不能统一,主要是指各国在该方面的不同,具体包 括纠错、均衡等技术的不同,带宽的不同,尤其是调制方式的不同。 数字传输的常用调 制方式: 正交振幅调制(QAM):调制效率高,要求传送途径的信噪比高,适合有线电视电缆传 - 3 - 输。 键控移相调制(QPSK):调制效率高,要求传送途径的信噪比低,适合卫星广播。 残留边带调制(VSB):抗多径传播效应好(即消除重影效果好),适合地面广播。 编码正交频分调制(COFDM):抗多径传播效应和同频干扰好,适合地面广播和同频网 广播4。 1.2 DVB 标准的发展及现状 目前,美国、欧洲和日本各自形成三种不同的数字电视标准。美国的标准是 ATSC(Advanced Television system Committee先进电视制式委员会);欧洲的标准是 DVB(Digital Video Broadcasting数字视频广播);日本的标准是ISDB(Integrated Services Digital Broadcasting综合业务数字广播)。 1.2.1 DVB计划的起源 DVB计划始于1993年,它是对提供一共同制式以使数字广播进入家庭的需求之响应。 人们已认为,数字电视进入家庭将满足广播的未来需要,但这只有当所有的欧洲广播业 者采用同一个标准时才是可行的。模拟世界中由多制式如PAL I、PAL B/G、SECAM等遗留 下来的明显问题必须克服。 经济上的考虑为迅速开发和接受标准提供了有说服力的理由。所建议数字调制系统 所需的复杂处理,要求提高硅片上的集成规模,因而只有采用共同标准才是经济的。换 句话说,数字电视要进入家庭,只有在能对一个大型、共同标准市场提供经济回报(规模 化经营、利润)时,才会成为现实。 为确保克服这些障碍,欧洲广播业界聚集在一起规划了一个方案。随着DVB计划的创 立,该集团在1990年所做工作的基础上,显示了数字视频压缩系统的可行性。从1993年 创立以来,该计划逐步壮大,其成员包括来自全世界25个国家超过200家公司的代表。它 用所谓一些“商业模型”从广播业者和制造厂家的观点确定了用户需求,而用“技术模 型”提供解决方案。 DVB计划做出的首批决定之一是将用于视频和音频压缩的MPEG-2主层和主类予以标准 化。这满足了编码器和解码器非对称分离的主要需求。由于每一个家庭都需要MPEG-2解 码器,MPEG-2解码器的复杂性和成本应远小于编码器。DVB的另一关键功能是确定调制技 术和共同的前向纠错(FEC)编码方式,允许通过易于产生误码的卫星、电缆和地面广播系 统传输至家庭观众。 DVB还提供:一个共同的有条件寻址加扰算法;允许观众查寻所要节目的业务信息; - 4 - 数据插入传输路径的格式用于字幕、图文和其他数据应用场合。 DVB计划不建立自己的标准。它只是先于公认的标准组织如ETSI、CENELEC、ITU- R、ITU-T和DAVIC提供规格。自从DVB计划创办以后,通过卫星、电缆、地面和MMDS系统 传输DVB的标准已被ETSI确定,并在许多区域,包括欧洲、澳大利亚、泰国、南非和美国 被采用。 具体的标准包括:ETSI 300 421 DVB通过卫星;ETSI 300 429 DVB通过电缆;ETSI 300 744 DVB通过地面电视网;ETSI 300 473 DVB通过SMATV系统;ETSI 300 748 DVB通 过高于10GHz的MMDS;ETSI 300 749 DVB通过低于10GHz的MMDS。 1.2.2 DVB标准概述 DVB(Digital Video Broadcasting)意为数字视频广播。DVB是欧洲有170多个组织参 加的一个项目。它包括了卫星、电缆电视和地面广播的普通电视和高清晰度电视的广播 与传输。DVB项目的主要目标是要找到一种对所有传输媒体都适用的数字电视技术和系统, 对它的要求是: (1) 系统应能灵活传送MPEG-2视频,音频和其他数据信号。 (2) 系统使用统一的MPEG-2传送比特流复用。 (3) 系统使用统一的服务信息系统提供广播节目的细节等信息。 (4) 系统使用统一的一级RS前向纠错系统。 (5) 使用统一的加扰系统,但可有不同的加密。 (6) 选择适于不同传输媒体的调制方法和通道编码方法以及任何必须的附加纠错方 法。 (7) 鼓励欧洲以外地区使用DVB标准,推动建立世界范围的数字视频广播标准。这一 目标得到了ITU卫星广播的支持。 (8) 支持数字系统中的图文电视系统。 DVB是一个系列标准,各标准在视频音频编码方案和系统复接方案上是一致的,都符 合MPEG-2标准,区别主要在于传输系统采用不同的方案,分别适用于不同的传输媒介和 应用环境。其中主要的有三个:DVB-S、 DVB-C和DVB-T,分别用于卫星、电缆电视和地 面广播,且得到ETSI(European Telecommunication standard Institute)的批准。DVB- S已被ITU推荐。DVB-S的标准ETS300421,DVB-C的标准是ETS300429,DVB-T的标准是 ETS300。 DVB-S是DVB最先制定的传输标准,可适用于多种卫星广播系统。卫星信道的特点是: - 5 - 可用频带宽、功率受限、干扰大、信噪比低。所以要求采用可靠性高的信号调制方式、 强的信号纠错能力,对带宽要求不是特别高。因此DVB-S采用前向纠错(FEC)(包括Viterbi 编码、交织、RS编码及加扰等电路), 正交移相键控(QPSK)调制的信道处理,然后馈给卫 星链路。接收时进行相反的处理。DVB-S数据流调制采用QPSK方式,工作频率为 11GHz/12GHz,当使用MPEG-2ML格式时,如果用户端达到ITU-R601演播室质量,码率为 9Mb/s;若达到PAL质量,码率为5Mb/s。一个54MHz卫星转发器(Transponder)的传输速率 可达68Mb/s,可用于多套节目的复用,DVB-S标准几乎为全球所有的数字电视卫星广播系 统所用。 DVB-C 用于有线信道。有线信道的特点是:信噪比高、频带资源窄、存在回波和非线 性失真。这些特点要求 DVB-C 采用带宽窄、频带利用率高、抗干扰能力较强的调制方式。 同时,由于信道信噪比高,误码率较低,纠错能力要求不很高。因此,DVB-C 的信道部分 采用 RS 码和卷积码交织技术,正交幅度调制(QAM)。 DVB-C技术就有下列显著特点: (1) DVB标准体系较完善,基本涵盖了数字电视传输、加扰、接口以及交互式应用等 方面,并与数字音频视频委员会(DAVIC)紧密合作,致力于建立数字视频、数字音频、数 据综合业务平台,开展多媒体业务; (2) 采用数字压缩技术,在每个标准PAL-D频道的8MHz带宽内可传送5-8套电视节目, 节省了频道资源,提高了频谱利用率; (3) 采用MPEG2编码标准和DVB标准,可传送SDTV和HDTV质量的电视节目,适应范围 广; (4) 采用数字编码和纠错技术,传输过程中的抗干扰能力强; (5) DVB系统采用统一的接口方式和数据格式传输MPEG2视频、音频和其他数据,信 号使用相同的比特流复用和业务信息,采用统一的加扰方式和CA机制; (6) 采用统一的有条件接收(CA)的数字加解扰技术,加扰性能优越,使非法解密并 可批量生产的可能性极小; (7) 可用多个频道转发多套数字卫星电视节目。利用卫星接收机取出标准的数字电 视节目流,直接馈入复用器。可将所有节目重新排列。选出希望转播的节目,不需要压 缩编码器,不损伤信号质量,可实现端到端的数字电视广播; (8) 符合GY/T106有线电视广播系统技术规范的现有CATV网不需要改造,即可承 载DVB下行业务2。 - 6 - DVB-T 用于地面广播信道。地面广播的特点是:地形复杂、存在时变衰落和存在多径 干扰、信噪比较低。因此 DVB-T 采用前向纠错(FEC)(包括内码交织、内码 Viterbi 编码、 外码交织、外码 RS 编码)和能有效消除多径干扰的正交频分复用技术(COFDM)和格雷码映 射 4/16/64QAM 调制等进行信道处理。然后在原来用于模拟的 6MHz、7MHz 和 8MHz 的频带 内发送数字电视节目。DVB-T 发送的比特率是可变的。例如:在 6MHz 频带可在 3.723.8Mbit/s 比特率之间进行选择;在 8MHz 频带可在 4.931.7Mbit/s 比特率之间 进行选择。以适应不同的接收环境、如移动接收应适当降低发送的码率。 1.3 System View仿真系统简介 System View是一个动态的系统仿真软件,主要用于电路与通信系统的设计、仿真, 能满足复杂通信系统不同层次的设计和仿真的要求。 System View以模块化和交互式的界面,在大家熟悉的Windows窗口环境下,为用户 提供了一个嵌入式的分析引擎。使用System View只需要关心项目的设计思想和过程,而 不必花费大量的时间去编程建立系统仿真模型。 System View带有DVB专业库,包括一整套在进行DVB系统设计和仿真时可能用到的辅 助工具。利用它,可以很方便的完成对基于欧洲电信标准ETS300 744下的各种DVB系统的 仿真。它提供了一整套完整的模块,可以分别对系统中各个层次上信号的产生及解调进 行仿真。另外也提供了可以代表整个调制器或解调器的单个模块。通过对调制方式、调 制模式参数、帧结构类型等各项参数进行灵活合理的设置,就可以对各种模式的DVB系统 进行仿真和分析1。 - 7 - 第 2 章 DVB-S 信道传输系统 2.1 DVB-S 系统传输过程 DVB-S标准采用11/12GHz卫星频段进行传输,主要用于固定卫星服务(FSS)和广播卫 星服务(BSS),卫星转发器带宽可以从26MHz到72MHz,转发器功率从49dBW到61dBW。系统 可以对用户化的IRD(Integrated Receiver Decoder)、集中天线系统SMATV(Satellite Master Antenna Television)、有线电视前端站提供DTH(Direct To Home)服务。系统所 提供的所有服务都是基于单载波的时分复用(TDM)。 DVB-S传输系统调制端的功能模块如图2.1所示。发送端将输入的视频信号、音频信 号、数据按MPEG-2格式编码,再经节目复用和传送复用形成有用的数据包格式,包长为 188bytes,包括1同步byte。然后此数据码流经过多项处理,其目的是用来增加信号对误 码的抵抗能力并使其适应信道传输特性。 数字视频编码 数字音频编码 数据编码 节 目 流 多 路 复 用 传 输 流 多 路 复 用 能 量 扩 散 R S 纠 错 编 码 卷 积 交 织 卷 积 内 编 码 基 带 整 形 Q P S K 调 制 射频 图2.1 DVB-S传输系统调制端的功能模块 这些处理包括:使用伪随机序列使数据随机化;用RS编码、卷积交织、卷积编码这 种级联编码技术以提高对误码的抵抗能力;通过截短、映射将编码后的数据映射到QPSK 星座上;经过基带波形形成,进行QPSK调制;最后经过中频调制为IF信号。 接收端对应着发射端有一个相应的逆过程。中频接口QPSK解调单元对接收的信号进 行积分相干解调和D/A转换,为Viterbi解码提供I, Q的软判决信息。匹配滤波器根据滚 降因子a补偿发端由于平方根升余弦滤波而引起的波形变换。载波时钟恢复单元用于解调 - 8 - 端的同步。然后进入对应于发端编码的解码过程:Viterbi译码、RS码同步、解卷积交织、 RS译码、解扰、最后得到原始的TS码流。 为了达到最大的功率利用率而又不使频谱利用率有很大的降低,卫星系统最好采用 QPSK调制并使用卷积码和RS级联纠错的方式,可取得较好的效果。该系统最好用于一个 转发器一个载波的系统,以便易于用于多载波系统。 发射信号经过信道后受到的噪声和干扰,为了提高系统的抗衰落性能,一般需要采 用纠错编码的技术加以保护。信道编码就是按照一定的规则,在发端将信源编码以后的 数据流人为地加入冗余数据使编码后的信号能够适应在信道媒质中传输,并且尽可能通 过收端信道解码将受到干扰而产生错误的那部分数据恢复,使最终通过信道传输系统的 数据能够去除信道的各种干扰和影响,之后再送入信源解码。 数字调制一般采用“星座图”来描述,星座图中定义了调制技术的两个基本参数: (1)信号分布;(2)与调制数字比特之间的映射关系。调制技术的可靠性可由相邻星座点 之间的最小距离来衡量,在信号的平均功率相同的条件下,最小距离越大,抵抗噪声和 干扰的能力越强。 信道编码和调制技术就是将各种纠错编码方式和映射优化组合的数字通信技术。 2.1.1 数据加扰和同步反转 通常编码器输出的视频、音频、数据等节目码流(PS)的包长度不固定,相对较长(一 般为1K至2K字节),经复用器后,输出一包长度固定为188字节的传输流。两种码流之间 的变换通过打包基本数据流(PES-Packet Elemental Stream)。PES提供标准的包头和打 包方法,并提供解码的时间标志。图2.2表示出MPEG-2的传输复用包的格式。 Sync 适配域 AF 有效载荷 包头 188 字节 图2.2 MPEG-2的传输复用包格式 信道编码系统的处理以固定包长为单位,且与MPEG-2传输复用器的包长兼容,DVB-S 的帧结构如图2.2所示。这里的同步是利用MPEG-2数据流中TS传输包同步头对应的字节。 系统中的数据加扰和RS编码都以188bytes为基本单位进行处理。这样有两点好处:(1)当 某个RS码字在接收端解码时出现无法纠正的错误时,误码集中在一个TS包内,不会影响 - 9 - 到其它的TS包,便于分接器进行差错指示;(2)便于提取TS包的同步头,简化了TS传输包 同步的提取。同步比特字的处理总是从MSB开始。每个传输包起始均为4个字节的包头, 第一个字节为同步字节,以使解复用器与比特流同步。包头之后为适配域(AF),其出现 与否,由包头中的两个比特指示。适配域的长度不能超过传输包的尾端。 数字通信理论在设计通信系统时都是假设所传输的比特流中0、1是等概率出现的。 另一方面,在接收端进行解调时必须先提取出比特时钟。比特时钟的提取是利用传输码 流中0、1之间的波形跳变来实现的,而连续的0或1将带来困难。能量扩散随机化就是利 用伪随机二进制序列发生器产生的伪随机序列对传输码流进行扰码,避免码流中出现长 串的“0”或“1”。 系统输入的MPEG-2传输流,包长度为固定的188字节,包括一个同步字节,即47H。 处理的顺序规定在发送端由同步字节的MSB(最高位)开始,即从“01000111”的“0”开 始。输入数据应按图2.3所示的结构进行随机化。PRBS(伪随机序列)的生成多项为: 如图2.3所示,将序列“100101010000000”输入移位寄存器,在每8个传输包 1514 1xx 的起点使之初始化。为了向加扰器提供初始信号,每组8个包的第一个包中的同步字节从 47H翻转为B8H,其他7个数据包的同步字节期间,扰码继续进行,但输出“使能”端关断, 即同步字节不加扰。因此,整个序列的长度为8188-1=1503字节(见图2.4)这一过程称 为“传输适用适配”。PRBS生成器输出的第一个比特,应送至翻转的同步字节(B8H)后的 第一个比特。当调制端没有比特流输入或输入比特流与MPEG-2标准不兼容时,随机化的 过程仍然进行,这样可以避免调制器输出没有调制的载波。系统中数据进行随机化处理 后,最终的输出数据具有弱的相关性,这样才能在接收端进行有效同步。 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 清除/随机化 数据输入 随机化/去随机化 数据输出 使能 图2.3 加扰器/解扰器原理图 - 10 - 188Bytes SYNC 188Bytes SYNC 188BytesSYNC 8188-1 图2.4 随机化后的MPEG-2序列 对MPEG-2码流的传输适配和去能量扩散是进行信道编码的前奏,这个过程实际上是 MPEG-2的码流序列与伪随机序列按照某种方式进行异或,由于伪随机序列是一个0与1近 似概率分布为1/2的序列,这样就能够避免出现连续的0或者连续的1,相当于扩展了其频 谱,然后将随机化的MPEG-2数据流送入信道编码器进行级联编码。 2.1.2 外码编解码(RS CODEC) RS(Reed-Solomon)码是一种纠错能力很强的多进制BCH码。RS码不仅是生成多项式的 根取自GF()域,其码元符号也取自GF()域。也就是说,在一个(n,k)RS码中,输入 m 2 m 2 信号分成km比特一组,每组包括k个符号,每个符号由m个比特组成。 一个纠t个符号错误的RS码有如下参数: . 码长:n=个符号,或m()个比特12 m 12 m . 信息段:k个符号,或mk个比特 . 监督段:n-k=2t个符号,或m(n-k)个比特 . 最小码距:个符号,或m(2t+l)个比特12 min td RS码是一个极大最小距离码,也就是说,对于给定的(n,k)分组码,没有其它码的最 小距离比RS码的更大。这充分说明RS码的纠错能力很强。一个(n,k)RS码的最小距离和码 重分布完全由k和n两个参数决定,这非常有利于根据指标设计RS码,也是RS广为应用的 原因。 RS码是具有极大最小距离的分组码,是本原BCH码,具有很强的抗突发错误的能力。 RS码(n,k,t)中 ,信息为k个码元,每个码元包含m个比特,码长为,校验码元n-12 m n k=2t个,最小码距个码元。12 min td 本系统中的外层纠错码采用分组码中的RS(204,188,8)的编码,它是RS(255,239,8) 的截短编码。在RS编码中长码截短后码的特性和纠错能力不变,所以编码效率为 188/204=0.92。仍可以纠正一个RS码字内的不超过8个字节的误码。 域生成多项式为: (2-1)1)( 2348 xxxxxP - 11 - 码的生成多项式为: (2-2) 15210 xxxxxg 其中,a=,编码时每输入k=188个字节信息位时,先在信息位前加上51个“0”字节, HEX 02 将信息位的长度变成标准的239个字节,然后根据这239个字节生成16个字节的校验码元, 产生255个字节的RS(255,239)码字,码字输出前再将信息码字前加入的“0”字节去掉, 变成RS(204,188)码字输出1。 RS解码的基本思想就是: 假设所传的码字为: (2-3) 1 110 n n xvxvvxc 由于信道干扰而产生误码,使得收到的码字r(x)为: (2-4) 1 110 n n xrxrrxr 误差多项式e(x)为c(x)与r(x)之差: e(x)=r(x)-c(x)= (2-20) (2-5) 1 110 n n xexee 定义n,k,2t+lRS码的2t个伴随式(ti2t+l)为。由于均 i S i i rS i221 , 为每个被传码字C(X)的根,因而有和。显然,伴随式 0 i c iii i eecS 仅与误差多项式e(x)有关,与接收到的码字r(x)无关。 i S 假设有k个错误(k24MHz),但转发器的辐射功率不高(十几 瓦至一百多瓦),传输信道质量不够高(传输路径远,特别是容易受雨衰影响)。因此,为 保证接收可靠,DVB-S采用了调制效率低、抗干扰能力强的QPSK调制。图2.7是QPSK调制 星座图,由图可知,IQ=00对应于45载波相位,IQ=10对应于135载波相位,IQ=11对 应于225载波相位,IQ=01对应于3l5载波相位。 所以,使用的是格雷码QPSK调制而不是DQPSK调制,虽然抗干扰能力有所增强,但是 会引入90,180相位模糊。这些相位模糊问题都可通过其相关特性在解码时得以解决。 DVB-S系统使用传统的格雷编码绝对映射(相对于差分映射)和QPSK调制。在调制之前,I, Q分量信号需要通过平方根升余弦滤波,滤波器的函数表达式为: (2-11) 10 11 2 sin 2 1 2 1 11 2 1 N NN N N N ff fff ff f ff fH 其中是Nyquist频率,是滚降因子。 22 1 s s N R T f35 . 0 I=1 Q=0 I=0 Q=1 I=0 Q=0 I=1 Q=1 图2.7 QPSK调制星座图 2.1.6 维特比解码的基本原理 .在维特比解码中,利用时间状态图来描述卷积码的编码过程尤为方便。以(2,1,3) - 16 - 卷积码为例,它的编码器及相应的状态图分别如图2.8和图2.9所示。 图2.8 (2,1,3)卷积码编码器状态图 D1D2 输出 图2.9 (2,1,3)卷积码编码器 虽然状态图能表示卷积编码器在不同输入序列下,编码器各状态之间的转移关系, 但并不能表示出编码器状态转移与时间的关系。为了表示这种关系,可以用篱笆或网格 (Trellis)图来表示,如图2.10所示。此图是L=5时,该(2,1,3)码的篱笆图,即将状态 图在时间上展开。它由节点和分支组成,共有L+m个单位时间(节点),以0至L十m-1表示。 若编码器从(00)状态开始,并且结束于状态,则最先的2个时间单位(0,1),相应于 0 S 0 S 编码器由状态出发往各个状态行进,而最后2个时间单位(6,7),相应于编码器由各状 0 S 态返回到状态。因而,在开始和最后2个时间单位,编码器不可能处于任意状态中,而 0 S 只能处在某些特定状态(如,)中之一,仅仅在其它时间单位,编码器可以处于任何状 0 S 1 S 态之中(即4个状态,中之任一个)。 0 S 1 S 2 S 3 S 篱笆图中每一状态有两个输入和两个输出分支。在某一时间单位(节点)i, 离开每一 状态的虚线分支(下面分支),表示输入编码器的信息子组;而实线分支(上面分支)1 i m 表示此时输入至编码器的信息子组;每一分支上的2个数字表示第 时刻编码器输0 i mi - 17 - 出的子组,因而篱笆图上的每一条路径都对应于不同输入的信息序列。由于 21 , iii ccc 所有可能输入的信息序列共有个,因而篱笆图上可能有的路径也有条,相应于 Lk0 2 Lk0 2 Lk0 2个长为的不同码序列。 0 1 nmLNc 图2.10 (2,1,3)码L=5时的篱笆图 一般情况下,(,m)卷积码编码器有共有个状态,若输入的信息序列长 0 n 0 k 1 0 2 mk 度是 (后(m一l)个码元全为0),则进入和离开每一状态的各有条分支, 00 1 kmLk 0 k 0 2k 在篱笆图上有条不同的路径,相应于编码器输出的个码序列。 Lk0 2 Lk0 2 编码器送出的码序列C,经过离散无记忆信道(DMC)传输后送入解码器的是序列 R=C+E,E是信道错误序列。解码器根据接收序列R,按最大似然译码准则力图找出编码器 在篱笆图上所走过的路径,这个过程就是解码器计算、寻找最大似然函数 j=1,2, jb j CRP|logmax Lk0 2 的过程,或者说解码器计算、寻找具有最大“度量”的路径过程,即寻找 j j CRM|max Lk j 0 2 , 2 , 1 的过程。式中,是的自然函数也称为的路径度量。 jbj CRPCRM|log| j C j C 对BSC信道而言,计算和寻找有最大度量的路径,等价于寻找与R有最小汉明距离的 路径,即寻找 j j CRd,min Lk j 0 2 , 2 , 1 对二进制输入Q进制输出的DMC信道而言,就是寻找与R有最小软距离的路径,此时的 - 18 - 度量就是软判决距离。 jsss j CRd,min Lk j 0 2 , 2 , 1 式中:与是接收序列R与序列的Q进制表示。 s R js C j C 但是,用上述这些方法解码是难以实现的。例如L=50,=3,=2,则共有 0 n 0 k 个码序列(或篱笆图上的路径)。如果在一秒钟内送出这=100个信息元, 30100 1022 0 Lk Lk0 则信息传输率只有100bit/s,这是很低的。但即使是在如此低的信息速率下,也要求解 码器在一秒钟的时间内计算、比较个似然函数(或汉明距离、软距离),这相当于要求 30 10 解码器计算每一个似然函数的时间小于s,这是根本无法实现的。更何况通常情况下 30 10 L不是几十,而是成百上千,甚至无穷尽,因此,必须寻找新的最大似然解码算法。 维特比算法正是为解决上述困难所引入的一种最大似然解码算法。它并不是在篱笆 图上一次比较所有可能的条路径,而是接收一段,计算、比较一段,选择一段最可能 Lk0 2 的码段,从而达到整个码序列是一个最大似然函数的序列。现把维特比解码算法的步骤 简述如下: (1) 从某一时间单位j开始,对进入每一状态的所有长为j段分支的部分路径,计算部分路 径度量。对每一状态,挑选并存贮一条有最大度量的部分路径及其部分度量值,称此部 分路径为幸存路径。 (2)j增加1,把此时刻进入每一状态的所有分支度量,和同这些分支相连的前一时刻的幸 存路径的度量相加,得到了此时刻进入每一状态的幸存路径,加以存贮并删去其它所有 路径,因此幸存路径延长了一个分支。 (3)若,则重复以上各步,否则停止,解码器得到了有最大路径度量的路径。1mLj 由单位时间m-1直至L,篱笆图上个状态中的每个状态有一条幸存路径,共有 1 0 2 mk 条。但在L单位时间后,篱笆图上的状态数目减少,幸存路径也相应减少。最后到 1 0 2 mk 第L+m-1单位时间,篱笆图归到全为0的状态,因此仅剩下一条幸存路径。这条路径就 0 s 是要找的具有最大似然函数的路径,也就是解码器输出的估值序列。由此可知,在篱笆 图上用维特比解码算法得到的路径一定是一条最大似然路径,因而这种解码方法是最佳 的。 2.2 系统中的模块仿真 - 19 - 2.2.1 RS编译码模块的仿真 RS编译码在数字电视传输系统中属于信道编码的外编码,是由原系统的 RS(255,239,9)码衍生的截短RS码。在欧洲的DVB和美国的ATSC两种不同的传输方式 中使用的RS码也有所不同,但基本原理是一样的,在仿真时只要设置好不同的参数就能 实现两种不同的RS码。 DVB的RS编译码器的仿真模块如图2.11所示,利用System View通信库中丰富的模块 进行搭建。在对本模块设计搭建时,对RS子模块按照数字电视传输的标准进行参数选择, 所以在编码和译码时选择增加51个全零字节,来实现截短RS编码(204,188,8),经RS 编码后,这些无用的字节将被删除。实验中使用的是(255,188,8)RS码,中间使用了 比特符号和符号比特转换器,转换参数为每符号8比特。信道中的噪声用高斯噪声信号源 来仿真,并使用了一个放大器作为信噪比控制器。 图2.11 RS编译码仿真模块组成 1、参数设置 图2.11中各个子模块的含义及参数设置如下: Token0:信号发生器PN码序列(Amplitude=0.5v Rate=14.9294e+6Hz No.Levels=2)。 Token1,5:比特符号转换和符号比特转换(select bit order=MSB First Bits/Symbol=8 Threshold=0.5v)。 Token2,4:纠错码编译码器(RS,Code Length n=255,Information Symbols k=188,Correct t=8,No.of symbols=256,Pad zeros=51)。 - 20 - Token3、12:采样器(Rate3=14.9294e+6Hz,Rate12=2.02499840425532e+6Hz)。 Token6、13:采样延迟(Fill Last Register,被动属性,Delay6=3360, Delay13=43)。 Token9:高斯噪声发生器。 Token10:增益(Gain=-15dB

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论