已阅读5页,还剩3页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
数字系统综合设计实验报告 实验题目: 模拟中央人民广播电台报时电路 姓名: 张晓奇 马良 学号: 20072306 20072312 设计内容:1、实验要求:11 计时器运行到59分49秒开始报时,每鸣叫1s就停叫1s,共鸣叫6响;前5响为低音,频率为750HZ,最后1响为高音,频率为1KHz;12要有分秒显示 21总电路图: CLK是时钟脉冲,通过次端口输入时钟信号,CLR是清零端口,置于高电平时起清零作用,CI是保持端口,置于低电平时起保持作用;ENM是校分端口,置于低电平是由时钟脉冲进行校分功能,ENH是校时端口,同ENM;speaker是报时端,在50、52、54、56、58秒/分时输出高电平。qsl【3.0】、qsh【3.0】,qml【3.0】、qmh【3.0】,qhl【3.0】、qhh【3.0】分别是秒的低位、高位,分的低位、高位,时的低位、高位数码管显示端。gaopin、dipin端分别是报时信号频率输入端。22模块设计:A 60进制秒模块VHDL语言:library ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY sec60 IS PORT ( ci :IN std_logic; mclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0); a :out std_logic; b :out std_logic );END sec60;ARCHITECTURE behave OF sec60 ISBEGINco=1when (qh=0101 and ql=1001 and ci=1) else 0; a=1 when (qh=0101 and ql=0000) else 1 when (qh=0101 and ql=0010) else 1 when (qh=0101 and ql=0100) else 1 when (qh=0101 and ql=0110) else 1 when (qh=0101 and ql=1000) else 0; b=1 when (qh=0000 and ql=0000) else 0; PROCESS (clk,mclear)BEGIN IF(mclear=1)THEN qh=0000; ql=0000; elsif(clkevent and clk=1)thenif(ci=1)then if(ql=9) then ql=0000; if(qh=5)then qh=0000;else qh=qh+1;end if;else ql=ql+1;end if;end if ;end if;end process;end behave;B 60进制分模块VHDL语言:library ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY min60 IS PORT ( ci :IN std_logic; mclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0); a :out std_logic; b :out std_logic );END min60;ARCHITECTURE behave OF min60 ISBEGINco=1when (qh=0101 and ql=1001 and ci=1) else 0; a=1 when (qh=0101 and ql=1001) else 0; b=1 when (qh=0000 and ql=0000) else 0; PROCESS (clk,mclear)BEGIN IF(mclear=1)THEN qh=0000; ql=0000; elsif(clkevent and clk=1)thenif(ci=1)then if(ql=9) then ql=0000; if(qh=5)then qh=0000;else qh=qh+1;end if;else ql=ql+1;end if;end if ;end if;end process;end behave;C 24进制时模块VHDL语言:library ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY hour24 IS PORT ( ci :IN std_logic; hclear :IN std_logic; clk :IN std_logic; co :out std_logic; qh :buffer std_logic_vector(3 downto 0); ql :buffer std_logic_vector(3 downto 0);END hour24;ARCHITECTURE behave OF hour24 ISBEGIN co=1when (qh=0010 and ql=0011 and ci=1) else 0; PROCESS (clk,hclear) BEGIN IF(hclear=1)THEN qh=0000; ql=0000; ELSIF(clkEVENT AND clk=1)THEN if(ci=1)then if(ql=9) or(ql=3 and qh=2) then ql=0000; if(qh=2) then qh=0000; else qh=qh+1; end if; else ql=ql+1; end if; END IF; END IF; END PROCESS;END behave;D、二选一数据选择器:library ieee;use ieee.std_logic_1164.all;entity xuanze isport(cp1,cp2,en:in std_logic; chu:out std_logic);end xuanze;architecture behave of xuanze isbeginprocess(cp1,cp2,en)begin if(en=1) then chu=cp1;else chu=cp2;end if;end process;end behave;仿真图像: 调试过程图像:(1) 秒钟计数器的调试过程:(2) 分钟计数器的调试过程:(3) 时钟计数器的调试过程:(4) 2选1数据选择器的调试过程:(5) 总体波形:设计心得体会:通过本次设计使我更热爱数字电路设计,初步学会MAXPLUS软件VHDL语言的编用,能设计较简单的时序逻辑电路和组合逻辑电路,能解决实验设计中出现的一般性问题,提高了自己的解决问题的能力。在实习过程中大大激发了我们对EDA的浓厚兴趣。井老师的指导使我们受益匪浅。我们在学习过程中得
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 大班上学期班级工作总结(12篇)
- 感恩教师演讲稿简短(12篇)
- 跟单员年终工作总结5篇
- 销售年终个人工作总结(详细15篇)
- 危险固体废物处理项目可行性研究报告
- 乡镇污水处理站建设项目可行性研究报告
- 年产5000吨中药饮片生产线技改扩建项目可行性研究报告
- 曲靖非煤矿山合并合同范本
- 人生安全保障合同
- 入职一周可以随时辞职吗没签合同
- 国开2024年《中国法律史》平时作业1-3答案
- 8D培训课件(共43页).ppt
- 如何正确理解五常政大论
- 完整版维修电工高级三级培训计划
- 第八讲 地形图应用(二)
- 普铁避雷器检修作业指导书
- 下水管道施工合同通用版
- 工资流水证明2页
- 铁合金生产工艺
- 钢结构策划书(范本)
- 急性肾衰竭与crrt治
评论
0/150
提交评论