基于vhdl电子钟的设计说明书.doc_第1页
基于vhdl电子钟的设计说明书.doc_第2页
基于vhdl电子钟的设计说明书.doc_第3页
基于vhdl电子钟的设计说明书.doc_第4页
基于vhdl电子钟的设计说明书.doc_第5页
已阅读5页,还剩39页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

NANHUA University电子技术课程设计题 目 基于VHDL的电子钟的设计 学院名称 电气工程学院 指导教师 职 称 班 级 学 号 学生姓名 2010年 01 月 15 日 课程设计任务书学院 电气工程学院 专业 电气工程及其自动化班级 学号 姓名 指导老师 一 设计课题名称 基于VHDL的电子钟的设计二 电子钟功能本课题要求所设计的电子钟能够正常进行时、分、秒计时,并显示计时结果。同时具有校时功能。三 设计要求1.详细说明设计方案;2.用VHDL编写设计程序;3.给出系统仿真结果;4.进行硬件验证。目录第一章1.1引言-431.2 课题设计的背景、目的-4第二章2.1 EDA的简单介绍- 62.2 VHDL的简单介绍- 62.3 Quartus2 软件- 7第三章3.1电子钟的结构图 -93.2小时模块 - 93. 3分钟模块 - 113.4 秒钟模块 -123.5 时间设置模块 - 14心得体会 - 17参考文献 - 18第一章1.1 引言随着科学技术的不断发展,人们对时间计量的精度要求越来越高。数字电子钟走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用秒脉冲发生器的精度稳定保证了数字钟的质量,我们利用VHDL语言制作电子钟的详细程序。1.2课题设计的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。生活中很多安全事故都是由于我们忽略了时间的重要性,缺少了时间观念而造成的。所以要想拥有超强的时间观念,减少由于时间给我们带来的痛苦与灾难,拥有好的手表式个不错的选择。但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 通过电子钟的设计,巩固计算机组成原理课程,理论联系实际,提高分析、解决计算机技术的实际问题的独立工作能力;掌握用VHDL语言编制简单的小型模块,学会数字钟的设计方法,熟悉集成电路的使用方法,初步掌握电子钟的设计方法并实现时间的显示和校对,并能对数字电子钟进行扩展。电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,此次设计与制作电子钟就是为了了解数字钟的原理,从而学会制作电子钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法以及VHDL语言的应用第二章2.1 EDA的简单介绍 EDA技术作为现代电子设计技术的核心,它依赖功能强大的计算机在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑手段完成的设计文件,自动的完成逻辑编辑。逻辑化简、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术在硬件实现方面融合了大规模集成电路制造技术,IC版图设计技术、ASIC测试和封装技术,FPGA/CPLD编程下载技术、自动测试技术等;在计算机辅助工程方面融合了计算机辅助设计CAD,计算机辅助制造CAM,计算机辅助测试CAT,计算机辅助工程CAE技术以及多种计算机语言设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论,数字信号处理技术,数字系统建模和优化技术及基于微波技术的长线技术理论等。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。2.2 VHDL的简单介绍VHDL是于1983年由美国国防部发起创建,有IEEE进一步发展并于1987年作为“IEEE标准1076”发布。从此,VHDL成为硬件描述语言的业界标准之一。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,在语言易读性和层次化结构化设计方面表现了强大的生命力和应用潜力。因此,VHDL支持各种模式的设计方法,自顶向下与自底向上或混合方法,在面对当今许多电子产品生命周期缩短,需要多次重新设计以融入最新技术、改变工艺等方面,VHDL具有良好的适应性。用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。一VHDL在工程设计中的优点:(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。 (2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。 (3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效、高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。 (5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。二VHDL语言的开发流程 (1)文本编辑:用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。通常VHDL文件保存为.vhd文件。 (2)功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真)。 (3)逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式和信号的连接关系。逻辑综合软件会生成.edf(edif)的EDA工业标准文件。 (4)布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放到PLD/FPGA内。 (5)编程下载:确认仿真无误后,将文件下载到芯片中。2.3 Quartus2 软件Quartus2在基于VHDL程序设计中拥有输入设计流程 ,其包括设计输入,综合,适配,仿真测试和编程下载等方法。Quartus2与其他应用软件相比,他提供了更强大、更直观便捷和操作灵活的原理图输入设计功能,同时还配备了更丰富的适用于各种需要的元件库,其中包括基本的逻辑元件,宏功能元件,以及类似于IP核的参数可设置的宏功能块LPM库。与传统的数字电路实验相比,Quartus2提供原理图输入设计功能具有不可比拟的优势和先进性:1) 设计者不必具备许多诸如编程技术,硬件描述语言等知识就能迅速入门,完成较大规模的电路设计系统。2) 能进行任意层次的数字系统设计,传统的数字电路实验只能完成单一层次的设计。3) 能对系统中的任意层次,或任一元件的功能进行精确的时序仿真。4) 通过时序仿真能迅速定位电路系统中的错误所在,并及时纠正。在对电子钟的设计中,由于对编程知识掌握量有限,所以利用了Quartus2的原理图输入设计方法的优势而对电子钟电路进行设计,从而得到各模块的设计流程。 第三章利用Quartus2原理图输入设计方法,将电子钟的各模块电路:小时,分,秒及校时模块在原理图中画出,并对其引脚进行设置,经过验证得到准确的电路原理图。3.1电子钟的原理图 图1 顶层电路图在此结构图中分为四个模块,每个模块的作用分别为:1.小时模块。通过复位和使能端的控制,进行小时间的切换,其进制为24进制,当显示器上的数字显示到23:59:59时小时立马复位为零,再进行重新计时。2.分钟模块。其控制原理与小时模块基本相同,所不同之处为其进制为60进制,进行电子钟分钟的控制。3.秒钟模块。其功能与作用于分钟模块相同。4.校时模块。当电子钟可以进行计时之后,我们要求可以对时间进行调节,所以在这个模块中附加了对分钟与小时的调节功能。并且在这个环节中,当我们对分或小时进行调节时,所要调节的显示器上的数字会进行闪烁,当我们对数字进行切换之后就会达到稳定的计时效果。3.2 小时模块小时模块采用24进制,由四进制与六进制构成。其程序如下: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY hour IS PORT (CLK0,CLK1,RST,EN : IN STD_LOGIC; q1,q0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END hour;ARCHITECTURE behav OF hour ISsignal CLK : STD_LOGIC;signal CQ1 : STD_LOGIC_VECTOR(7 DOWNTO 0);signal C0 : STD_LOGIC_VECTOR(3 DOWNTO 0);signal C1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINcq1clkclknull;end case; IF RST = 1 THEN c1 0) ; c0 0) ; ELSIF CLKEVENT AND CLK=1 THEN IF c0 9 THEN c0 = c0 + 1; ELSE c0 0); IF c0 = 9 THEN c1 = c1 + 1; END IF; end if; END IF;if (c1=2 and c0=4) then c0 0);c1 0); END IF; q1=c1;q0=c0; END PROCESS;END behav;得到的仿真波形如下: 图2 仿真波形3.3 分钟模块分钟模块采用十进制和六进制构成原理图为LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY minute1 IS PORT (CLK0,CLK1,RST,EN : IN STD_LOGIC; q1,q0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END minute1;ARCHITECTURE behav OF minute1 ISsignal CLK : STD_LOGIC;signal CQ1 : STD_LOGIC_VECTOR(7 DOWNTO 0);signal C0 : STD_LOGIC_VECTOR(3 DOWNTO 0);signal C1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINcq1clkclknull;end case;IF RST = 1 THEN c1 0) ; c0 0) ; ELSIF CLKEVENT AND CLK=1 THEN IF c0 9 THEN c0 = c0 + 1; ELSE c0 0); IF c0 = 9 THEN c1 = c1 + 1; END IF; end if; END IF;if (c1=5 and c0=9)then cout= 1;ELSE COUT =0;end if; if (c1=6 and c0=0) then c0 0);c1 0); END IF; q1=c1;q0=c0; END PROCESS;END behav;得到的仿真波形如下:3.4 秒钟模块采用十进制和六进制构成原理图为LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY second IS PORT (CLK,RST,EN : IN STD_LOGIC; q1,q0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END second;ARCHITECTURE behav OF second ISsignal CQ1 : STD_LOGIC_VECTOR(7 DOWNTO 0);signal C0 : STD_LOGIC_VECTOR(3 DOWNTO 0);signal C1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINcq1= c1 & c0; PROCESS(CLK, RST, EN) BEGIN IF RST = 1 THEN c1 0) ; c0 0) ; ELSIF CLKEVENT AND CLK=1 THEN IF EN = 1 THEN IF c0 9 THEN c0 = c0 + 1; ELSE c0 0); IF c0 = 9 THEN c1 = c1 + 1; END IF; end if; END IF; END IF;if (c1=5 and c0=9)then cout= 1;ELSE COUT =0;end if; if (c1=6 and c0=0) then c0 0);c1 0); END IF; q1=c1;q0=c0; END PROCESS;END behav;得到的仿真波形如下: 图4 仿真波形3.5 时间设置模块此模块实行对时间进行校正原理图为 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sst isport (clk :in std_logic; m1,m0,s1,s0:in std_logic_vector(3 downto 0); y:out std_logic);end sst;architecture one of sst isbeginprocess(clk)beginif clkevent and clk=1 then if m1=0000 and m0=0000 and s1=0000 and s0=0000 then y=1;else y=0; end if;end if;end process;end one;得到的仿真波形如下: 图5 仿真波形将各模块进行连接,然后进行仿真,得到的总程序的仿真波形如下: 图6 仿真波形心得体会:通过此次课程设计,令我感受颇深,在平时的学习中,虽然书本上的知识都掌握了,但是如果不联系实际,不把所掌握的知识与实践相结合,也就是我们所说的死学习,是达不到我们所要的理想水平的。所以为了将我们所学习的东西充分的理解掌握,就必须经常思考,用实验验证我们的所学成果。在理论与实际相结合的过程中我们要勤思考,多请教,尽自己最大的努力将设计做好。本次课程设计的VHDL语言程序已在Quartus工具软件上进行了编译、仿真和调试,并通过编程器下载到了EP1C6Q240C8芯片。经过实践验证,本设计是正确的,仿真数据与实际波形发生器的试验箱运行结果完全一致,达到预期目的,设计成功。本文给出的设计思想也适用于其他设计。课程设计中虽然遇到了很多困难,特别是软件一些功能还比较陌生,但是在老师的指导和帮助下能去亲手实践,经过多次练习掌握并熟练。一些程序的检测和改正还需要我们耐心仔细去探索。参考文献1.潘松等. EDA技术实用教程2.卢毅,赖杰.VHDL与数字电路设计3.林明权.VHDL数字控制系统设计范例4. 39大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万tkm”,“t/(人a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时,不允许用“”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。表内文字说明不加标点。文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等。表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及表的说明文字均用五号字,中文用宋体。表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表)字样。2.13 插图插图应与文字紧密配合,文图相符,技术内容正确。2.13.1 制图标准插图应符合技术制图及相应专业制图的规定。机械工程图:采用第一角投影法,应符合附录5所列有关标准的规定。电气图:图形符号、文字符号等应符合附录6所列有关标准的规定。流程图:符合国家标准。对无规定符号的图形应采用该行业的常用画法。2.13.2 图题及图中说明每个图均应有图题(由图号和图名组成)。图号按章编排,如第1章第一图的图号为“图1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号用a)、b)等置于分图之下。图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者,置于分图题之上)。图题用五号字,图内文字及说明均用五号字,中文用宋体。2.13.3 插图编排插图与其图题为一个整体,不得拆开排写于两页。插图应编排在正文提及之后,插图处的该页空白不够排写该图整体时,则可将其后文字部分提前排写,将图移到次页最前面。2.13.4 坐标单位有数字标注的坐标图,除无单位者(如标示值)之外,必须注明坐标单位。2.13.5 论文中照片图及插图毕业论文中的照片图均应是原版照片粘贴(或数码像机图片),照片可为黑白或彩色,应主题突出、层次分明、清晰整洁、反差适中。照片采用光面相纸,不宜用布纹相纸。对金相显微组织照片必须注明放大倍数。毕业论文中的插图不得采用复印件。对于复杂的引用图,可采用数字化仪表输入计算机打印出来的图稿。2.14 附录理工类论文附录的序号采用“附录1”、“附录2”等,附录顺序为开题报告、文献综述、外文文献的中文译文及外文复印件等。文管类论文附录序号相应采用“附录一”、“附录二”等。3、论文排版要求3.1 纸张要求及页面设置名称格式要求纸张B5(182257),幅面白色页面设置上下页边距2.5cm和2cm,左右页边距2.4 cm和2cm,页眉、页脚分别为1.8cm和1.7cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格页眉宋体字五号居中页码宋体字小五号居中3.2 封面(详见模版、B5纸单面打印)名称格式要求本科毕业设计/论文宋体字小二号,行距固定值25磅 ,间距段前、段后分别为0.5行,要求字体居中论文题目黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中填写姓名宋体字小三号, 行距固定值20磅 , 间距段前、段后分别为0.5行,要求字体居中大学楷体字小二号,行距固定值20磅, 间距段前、段后分别为0.5行。每字间空1格,要求字体居中年 月宋体字小三号,行距固定值20磅, 间距段前、段后分别为0.5行。数字用阿拉伯数字,日期为论文提交日期,要求字体居中3.3 封面2(详见模版、B5纸单面打印)名称格式要求本科毕业设计/论文宋体字小二号,行距固定值25磅,间距段前、段后分别为0.5行,要求字体居中论文题目黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中学院(系)宋体字四号,行距固定值20磅,间距段前、段后分别为0.5行,字体左对齐专业同上学生姓名同上学号同上指导教师同上答辩日期同上3.4 本科毕业设计/论文 任务书(单面打印)本科毕业设计/论文B5纸,单面打印,不编页码3.5 中、英文摘要名称中文摘要英文摘要标题摘要:黑体字小二居中,行距固定值20磅,间距段前、段后分别为1行Abstract: Times New Roman体小二号居中,行距固定值20磅,间距段前、段后分别为1行段落文字宋体字小四号,行距固定值20磅Times New Roman体小四号,行距固定值20磅关键词同上,“关键词”三字加粗同上,“Key Words”两词加粗页码罗马大写数字,Times New Roman体小五号字罗马大写数字,Times New Roman体小五号字3.6 目录名称示例格式要求标题目录黑体字小二号居中,行距固定值20磅,间距段前、段后分别为1行各章目录格式范例黑体字小四号, 行距固定值20磅,两端对齐,页码右对齐节标题目录格式范例宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进2字符条标题目录格式范例宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进3字符(条标题目录文科左缩进2字符)页码格式范例罗马大写数字,Times New Roman 体小五号字3.7 正文名 称示例格式要求理工论文文科论文各章标题 第1章 一、黑体字小二号居中,行距固定值20磅,间距段前、段后分别为1行,理工类章序号与章名间空一个汉字节标题1.1 (一)黑体字小三号,行距固定值20磅,间距段前、段后分别为0.5行,理工类题序与题名间空一个汉字条标题1.1.1 1、黑体字四号,行距

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论