EDA技术及软件 毕业论文外文翻译.doc_第1页
EDA技术及软件 毕业论文外文翻译.doc_第2页
EDA技术及软件 毕业论文外文翻译.doc_第3页
EDA技术及软件 毕业论文外文翻译.doc_第4页
EDA技术及软件 毕业论文外文翻译.doc_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

毕 业 设 计(论 文)外 文 参 考 资 料 及 译 文译文题目: eda technology and software eda技术及软件 学生姓名: 学号: 0905104084 专业: 电子信息工程 所在学院: 信息技术学院 指导教师: 职称: 副教授 2012年10月 31日说明:要求学生结合毕业设计(论文)课题参阅一篇以上的外文资料,并翻译至少一万印刷符(或译出3千汉字)以上的译文。译文原则上要求打印(如手写,一律用400字方格稿纸书写),连同学校提供的统一封面及英文原文装订,于毕业设计(论文)工作开始后2周内完成,作为成绩考核的一部分。eda technology and software /p-525857402.htmlket matures, but our r&d level still very limited, still need to catch up. eda is electronic design automation (electronic automation) is the abbreviation of themselves, in the early 1990s from computer aided design (cad), computer aided manufacturing (cam), computer aided testing (cat) and computer aided engineering (cae) development of the concepts and come.eda technology is on the computer as the tool, the designer in eda software platform, with vhdl hdl finish design documents, then by the computer automatically logic compilation, reduction, division, comprehensive, optimization, layout and wiring and simulation for a particular goal chips, until the adapter compilation, logic mapping and programming download, etc.1 eda technology conceptseda technology is in electronic cad technology developed on the basis of computer software system by means of computer for working platform, shirt-sleeve application of electronic technology, computer technology and information processing and intelligent technology to the latest achievements of electronic products, the automatic design.using eda tools, electronic stylist can be from concept, algorithm, agreement, etc, begin to design your electronic system a lot work can be finished by computer and electronic products can be from circuit design, performance analysis to design the ic territory or pcb layout the whole process of the computer automatically complete the processing.2 eda commonly used softwareeda tools to emerge in endlessly, now entering into china and extensive influence of eda software are: multisim7, pspice orcad, pcad, viewlogic protel was little, synopsys, lsiiogic, microsim cadence, etc. these tools are strong function, generally can be used in several aspects, such as many software can undertake circuit design and simulation, with into and can be automatically pcb layout wiring, output various nets list document with a third party software interface.according to the main function below or main applications, divided into circuit design and simulation tools, pcb design software, ic design software and pld design tools and other eda software, simple introduction.2.1 electronic circuit design and simulation toolswe may have used test plate or other things has produced some electronic making to carry on the practice. but sometimes, we will find it to have a lot of questions, didnt think, so they wasted a lot of our time and materials. and increases product development cycle and lasted product time-to-market transforms product lose market competitive advantage. have to use dianlaotie test plate can know the results of method? conclusion there is, this is circuit design and simulation technology.electronic circuit design and simulation tools including spice/pspice; multisim7; matlab, systemview; mmicad livewire, edison, tina pro who spark etc. the following simple introduced the first three software.2.2 pcb design softwarepcb board design software is a lot of more phyletic, such as protel, orcad, viewlogic, powerpcb cadence psd, mentorgraphices, the expedition pcb, zuken cadstart, winboard/windraft/ivex - spice, pcb studio, tango, pcbwizard, ultiboard7, etc.2.3 ic design softwareic design tools many, including according to market the share of row cadence, behavior was little and synopsys. these three are asic design domain is quite famous software vendors. other company software relatively users less. chinas huada company also provide asic design software (panda 2000); another recent famous avanti company, is originally in cadence of several chinese engineers created, their design tools can be comprehensive and cadence company tools as legitimate, very suitable for deep-submicron ic design. below the end-use for ic design software for some introductions.(1)design input toolsthis is a kind of any eda software must possess basic functions. like the cadence of viewlogic composer, viewdraw, hardware description language vhdl verilog hdl is main design, language, many design input tools support hdl. like other active - hdl and other input methods, including the design principle and state machines input methods, design fpga/cpld tools mostly as ic input methods, such as altera xilinx, provide development tools such as company modelsim fpga, etc.(2) design simulation workwe use eda tools a maximum benefits can be verified design is correct, almost every company eda products have the simulation tools. verilog - xl, nc - verilog used for verilog simulation, leapfrog used analog to produce stats artist used for simulation, vhdl analog simulation. viewlogic of simulators are: viewsim door level circuit simulator of speedwavevhdl simulators, vcs - verilog emulator. there was little subsidiary companies of vhdl and product model wave-tech verilog double simulators: model sim. cadence, synopsys use is vss. now the trend is each big eda companies have gradually in hdl simulator for a circuit verifying tool.(3) comprehensive toolscomprehensive tool will become door level nets table of hdl. this aspect synopsys tools occupy the bigger advantage, its themselves as a compile comprehensive industrial standard, it has another product called compiler behavior, can offer more advanced comprehensive.another recent u.s. and worked out a software called ambit, reportedly more effective than synopsys software, can be integrated circuits, 50 million door faster. earlier this year by ambit cadence, therefore company acquisitions cadence abandoned its original integrated software synergy. as the scale of the fpga design is more and more big, each eda company have developed for the fpga design of integrated software, famouser are: synopsys fpga express, the cadence of synplity, was leonardo, this three fpga integrated software accounts for most of the market.(4)layout and wiringin ic design layout tools, wiring cadence software is stronger, it has many products used for standard unit, gate array has can realize interaction wiring. the most famous is cadence spectra, its original is used for pcb wiring, and later cadence put it used for ic wiring. its main tools are: cell3, silicon ensemble - standard unit wiring manometers; gate ensemble - gate arrays wiring manometers; themselves planner - layout tools. every other eda software development company also provide its own layout wiring tools.(5)physical validation toolphysical validation tool includes layout design tool, territory validation tool, territory extraction tools, etc. this aspect is strong, its cadence dracula, virtuso, vampire such physical tools have many users.(6) analog circuits emulatorfront speak simulator is mainly directed against the digital circuit, for analog circuit simulation tools, commonly used spice, this is the only choice. just choose different companies, like the miceosim spice, the soft meta pspice hspice etc. avanti hspice is now company acquisitions. in numerous spice, as ic design, and its hspice simulation model, the accuracy is high.2.4 pld design toolspld is a kind of questions by users according to need itself logic functions of tectonic digital integrated circuit. at present basically has two big type: pld and complex programmable gate array fpga. their basic design method is by eda software, with the principle diagram, state machine, boolean expressions, hardware description language and other methods, generating the corresponding target files, finally using programmer or download cable, the target device implements. production pld manufacturers many, but the most representative of pld manufacturer for altera, xilinx and lattice company.btw: pld is a kind of can replace completely 74 series and gal, the plas new circuits, so long as has the digital circuit basis, can use computer, can undertake pld development. pld online programming ability and strong development software, make engineers can days, or even minutes can be completed before a few weeks to finish the job, can be millions of door of complex design integrated in a single chip inside. pld technology in developed countries has become electronic engineers must technology.2.5 other eda software(1) the vhdl language: super-fast integrated circuit hardware description language, is a standard ieee design language. (2) veriolg hdl: is verilog company launched hardware description language, in asic design with vhdl language in equal measure.(3) other eda software such as specially for the microwave circuit design and power tools, pcb production and carrier process control and other areas of tools, in this was not introduced.3 eda applicationseda in teaching, scientific research, product design and manufacturing and other aspects plays a tremendous role. in teaching, almost all engineering class universities are opened eda courses. mainly is to let students understand the basic concepts and eda, and grasp the basic principle in hdl language compose standard, and grasp the logic synthesis theory and algorithm, using eda tools for electronic circuit course of experiment and engaging in the simple system design. general learning circuit simulation tools and pld development tools, for the future work to lay the foundation.scientific research mainly using circuit simulation tools circuit design and simulation, make use of virtual instruments for product testing, will cpld/fpga device application to instruments, engaged in pcb design and asic design etc.in product design and manufacturing, including computer simulation, product development of eda tools system-level simulation and application, the simulation test environment, production line eda technology application, product testing and so on each link. such as pcb production, electronic equipment research and production, circuit board of welding, asic production process etc.judging from the application field, eda technology already seeped industries, as mentioned, including in machinery, electronics, communication, aviation aerospace shuttle, chemical, mineral, biology, medicine, military and other fields, have eda applications. in addition, eda software functions of increasingly powerful, the original function is more onefold software, now increases a lot of new applications. like autocad software can be used for mechanical and architectural design, also expands to building decoration and all kinds of rendering, vehicle and airplane model, movie stunt, etc.4 eda technical development trendjudging from the current eda technology, its development trend is government attaches importance to, use popularization and application widespread, diversified, software powerful tools.in information communication field to priority to the development of high-speed broadband information network, submicron integrated circuits, the new components, computer and software technology, third generation mobile communication technology, information management, information security technology, actively develop by digital technology, network technology as the foundation of the new generation information products, developing new industry, cultivating new economic growth point. in eda software development, etc, which are mainly concentrated in the united states. but countries are struggling to develop corresponding tools. japan, south korea has asic design tools, but do not open to the outside world. china welltec ic design center, and also provides ic design software, but the performance is not very strong. believe in the near future will have more better design tools around flower and results. according to recent statistics, china and india are becoming electronic design automation fields fastest-growing markets, laminated growth in summer respectively achieved 50% and 30%.eda technology is developing rapidly, and can completely with changing to describe. eda technology application is extensive, now has related to all walks of life. eda level enhances unceasingly, design tools tend perfect proportions. eda mar 译 文eda技术及软件eda是电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。1、eda技术的概念eda技术是在电子cad技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用eda工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出ic版图或pcb版图的整个过程的计算机上自动处理完成。 2、eda常用软件 eda工具层出不穷,目前进入我国并具有广泛影响的eda软件有:multisim7、pspice、orcad、pcad、protel、viewlogic、mentor、graphics、synopsys、lsiiogic、cadence、microsim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行pcb自动布局布线,可输出多种网表文件与第三方软件接口。 下面按主要功能或主要应用场合,分为电路设计与仿真工具、pcb设计软件、ic设计软件、pld设计工具及其它eda软件,进行简单介绍。 2.1 电子电路设计与仿真工具 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 电子电路设计与仿真工具包括spice/pspice;multisim7;matlab;systemview;mmicad livewire、edison、tina pro bright spark等。下面简单介绍前三个软件。 2.2 pcb设计软件pcb设计软件种类很多,如protel、orcad、viewlogic、powerpcb、cadence psd、mentorgraphices的expedition pcb、zuken cadstart、winboard/windraft/ivex-spice、pcb studio、tango、pcbwizard、ultiboard7等等。2.3 ic设计软件 ic设计工具很多,其中按市场所占份额排行为cadence、mentor graphics和synopsys。这三家都是asic设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供asic设计软件;另外近来出名的avanti公司,是原来在cadence的几个华人工程师创立的,他们的设计工具可以全面和cadence公司的工具相抗衡,非常适用于深亚微米的ic设计。下面按用途对ic设计软件作一些介绍。设计输入工具 这是任何一种eda软件必须具备的基本功能。像cadence的composer,viewlogic的viewdraw,硬件描述语言vhdl、verilog hdl是主要设计语言,许多设计输入工具都支持hdl。另外像active-hdl和其它的设计输入方法,包括原理和状态机输入方法,设计fpga/cpld的工具大都可作为ic设计的输入手段,如xilinx、altera等公司提供的开发工具modelsim fpga等。 设计仿真工作 我们使用eda工具的一个最大好处是可以验证设计是否正确,几乎每个公司的eda产品都有仿真工具。verilog-xl、nc-verilog用于verilog仿真,leapfrog用于vhdl仿真,analog artist用于模拟电路仿真。viewlogic的仿真器有:viewsim门级电路仿真器,speedwavevhdl仿真器,vcs-verilog仿真器。mentor graphics有其子公司model tech出品的vhdl和verilog双仿真器:model sim。cadence、synopsys用的是vss(vhdl仿真器)。现在的趋势是各大eda公司都逐渐用hdl仿真器作为电路验证的工具。 综合工具 综合工具可以把hdl变成门级网表。这方面synopsys工具占有较大的优势,它的design compile是作为一个综合的工业标准,它还有另外一个产品叫behavior compiler,可以提供更高级的综合。 另外最近美国又出了一个软件叫ambit,据说比synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初ambit被cadence公司收购,为此cadence放弃了它原来的综合软件synergy。随着fpga设计的规模越来越大,各eda公司又开发了用于fpga设计的综合软件,比较有名的有:synopsys的fpga express, cadence的synplity, mentor的leonardo,这三家的fpga综合软件占了市场的绝大部分。 布局和布线 在ic设计的布局布线工具中,cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是cadence spectra,它原来是用于pcb布线的,后来cadence把它用来作ic的布线。其主要工具有:cell3,silicon ensemble-标准单元布线器;gate ensemble-门阵列布线器;design planner-布局工具。其它各eda软件开发公司也提供各自的布局布线工具。 物理验证工具 物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面cadence也是很强的,其dracula、virtuso、vampire等物

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论