成都理工大学工程技术学院教案编写规范_第1页
成都理工大学工程技术学院教案编写规范_第2页
成都理工大学工程技术学院教案编写规范_第3页
成都理工大学工程技术学院教案编写规范_第4页
成都理工大学工程技术学院教案编写规范_第5页
已阅读5页,还剩29页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

成都理工大学工程技术学院教案编写规范

(试行)

教务处(2009)1号

各系(部):

教案是教师实施教学的基本依据,是保证课程教学质量的基本前提。为了

进一步规范我校教师备课及教案编写工作,促进教师不断改进教学,创新教学方

法,提高课堂教学质量,制定本规范。请各系(部)主任,安排本系(部)任课

教师,从2008~2009学年第二学期开始,使用规范的教案格式编写教案。

一、教案的内涵

教案是基于知识点对一次授课或一节授课的教学过程的设想与计划,是授课

教师教学思想、教学方法及教学组织能力的重要体现。

教案不同于讲义(讲稿)。讲义所承载的是知识信息,涉及的是知识性项目,

其思路形成受教学过程的知识逻辑支配,要求尽可能详细、全面,篇幅较长;教

案所承载的是课堂教学的组织管理信息,涉及的是组织性项目,其思路形成受教

学过程的管理逻辑支配,篇幅教短。因此,讲义不能代替教案。

“多媒体课件”是把计算机综合处理文本、图形、声音、图像、动画、视频

等多种媒体信息能力的技术应用于教学上,改变信息的包装形式,在计算机上利

用媒体开发工具把图、文、声、像集成在一起,做成教学软件,以提高教学内容

的表现力和感染力。课件所涉及的也是知识性项目,是教材和教学知识信息的摘

录。鉴于此,课件不能代替教案。

二、教案编写的基本要求

1.教案的编写是整个课程教学活动中不可缺少的重要环节,教案必须围绕

教学大纲进行设计,任课教师应通过认真钻研教材和教学大纲,并根据社会的发

展及对人才培养的新要求及时增加和补充前沿内容。同时,要了解学生已有的知

识结构、理解能力,对讲授内容进行合理安排和设计。

2.教案主要是按讲授的教学内容和课时进行编制,可以根据一个教学单元

或一次课(2—3学时)编制一个教案。针对不同的专业和不同层次的学生,教案

要有所区别。同一门课程,专业不同,则授课内容和侧重点也应有所区别,并且

要根据专业特点适当调整教学内容及重点。

3.编写教案要处理好应该教什么和学什么(教学目标),怎样教和怎样学

(教学策略)的关系。

4.编写教案要对教学过程中可能出现的问题做出预见性分析,并形成相应

的处理方案。

5.编写教案原则上由任课教师个人完成,对于“多人一课”的课程,学校

提倡各主讲教师在编写教案过程中进行教学研讨。

三、教案的基本内容

1.教学目的和要求:该授课单位预期达到的效果和目标(教学目的和要求

应与教学大纲的基本要求相一致)。

2.教学的重点和难点:指该教学单元的重点和难点部分,是学生必须掌握

的知识点。

3.教学组织:教学组织是教案的主体,要按引入新课、讲授、总结与巩固

三方面进行组织,并根据教学目的对该教学单元进行教学方式(讲授、实验、讨

论等)、教学方法、辅助手段(教具及多媒体辅助教学手段)、师生互动、时间

分配、板书等方面进行详细设计。教学方式、方法与手段要能有效地调动学生的

学习积极性,促进学生的积极思考,激发学生的潜能。

4.作业及课外训练:指为帮助学生掌握、运用所学知识而进行的辅助性的

教学训练,旨在巩固所学知识以及培养学生分析问题和解决问题的能力。

5.参考资料:主要包括提供给学生的课外阅读资料(包括书目、报刊、论

文)及网络资源等,为学生课后自学及扩大知识面提供相关的资料信息。

6.课后自我总结分析:课后自我总结分析指对教师全部教学工作的分析总结,

既包括对课程教学中知识的科学性、完整性及学术观点的分析总结,还包括对教学

过程、学生学习效果等情况的分析评价,为以后的教学提供经验和素材。课后小结

可以根据情况需要随着备课、授课进程写入教师教案,也可以在教案之外单独形成

材料。

四、教案管理要求

1.教师上课要有完整的教案。

2.各系或教研室应定期组织检查本系教师的教案,对新教师的教案进行有

针对性的指导。

3.学校在今后的课程和教学质量相关的教学评价中,将把教案作为重要的

评价依据。

教案的表现形式可以不拘一格,不同课程教案的表现形式可有自己的特色。

附件:成都理工大学工程技术学院教案编写格式(提供的教案模版可供教师编写

教案时参考)

教务处

2009年1月9日

说明:

1、如果教师用电子教案,请按附件提供的教案模版编写教案;如果用手写

教案,则参考附件提供的教案模版编写教案,应包含模板中要求的内容。

成都理工大学工程技术学院

EDA技术与VHDL课程教案

教师姓名朱晋_________________

所在系部电子信息工程系___________

授课专业2008级电子信息科学与技术

课程代码______________________________

总学时64学分4

教材名称EDA技术与VHDL___________

2011年3月5日

[首页]

课程名称硬件描述语言

授课年

应电

专业级2007

课程编号

必修课公共基础课();专业基础课();专业课(J)

课程类型

选修课专业选修课();公共选修课()

使学生通过对VHDL语言及EDA技术的学习和训练,获得现代硬件数字电路

的软件化设计方法,了解并初步掌握当代国际数字技术设计领域的最新技术;

教学目标

激发并调动学生创造性思维能力,为学生在数字技术领域的进一步深入探索和

进行创新奠定基础。

授课方式课堂讲授(J);实践课(V)考核方式考试(J);考查()

课程教学

64学分数4

总学时数

学时分配课堂讲授40学时;实践课24学时

潘松

《EDA技术出版社及

教材名称作者2007.1

与VHDL》黄继业出版时间

雷伏容及

参作清华大学出版社

VHDL电路设计出

考者2006年12月

授课教师朱晋职称讲师单位电信系

授课时间

周次第一周编写时间2011.2.20

章节名称第一章概述

教学目的与要求:总,体课程呢容概述,让学生掌握硬件描述语言的发展过程、特点,以及语言的开

发流程。

目的:使学生全面了/岬电子系统设计发展的基本情况。

教学重点和难点:

本章重点是:VHDL的一个发展历程,难点是:VHDL有关的一些历史信息。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

概地介绍了一种在专用集成电路设计领域具有广泛应用前景的硬件描述语言——VHDL语言。利

用VHDL语言设计数字逻辑电路和数字系统的新方法,是电子电路设计方法的一次革命性的变化,

也是21世纪的电于工程师所必须掌握的专门知识。

要求学生:对VHDL语言有一个大概的了解,对将来的学习打下一个良好的开端。

使用多媒体课件的展示,让学生对该课程有个直观的了解。

课程共有两小节课,在这90分钟内,要讲述完第一章,同事多介绍几种开发软件,让学生多该

设计课程有一个初步的认识。

第一次课,全部由PPT作为讲述配合,不单独使用黑板进行书面半数,每张PPT的上述时间大

约是1分钟,讲述过程中,要求配合大量现实和生活中实力,加以说明,让学生能够感觉到该课程的

使用就在我们自己的日常生活当中。

作业及课外训练:总结VHDL语言的产生和发展以及VHDL语言的特点。总结可编程逻辑器件的相

关概念。

参考资料(含参考书、文献等):将自己从网络下载的资料与学生共享

课后自我总结分析:

周次第一周编写时间

章节名称第二章数字逻辑基础

教学目的与要求:使学生了解可编程逻辑器件的范围分类,并且应该增加一些课外知识面的介绍。对

以往所学的数字逻辑做一个简要的复习。

教学重点和难点:本章重点是:PLD的分类和发展,以及数字逻辑基础。难点是:PLD、CPLD、FPGA

的结构和工作原理。时序逻辑电路、组合逻辑电路基本知识。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

内容:1、PLD的分类和发展历史的简要介绍,并且讲述了一类PLD可编程原理,同时才向大家介绍

了CPLD以及FPGA的结构和工作原理,并且详细讲述了硬件测试技术中的两种测试方法。让学生可

了解PLD、CPLD和FPGA最基础的一些常识。

2、复习数字逻辑基础,主要复习一下组合逻辑电路,时序逻辑电路,两种电路的分析、设计方

法,以例题来作为讲述,达到一个复习的效果。

要求学生:作为知识点,需要学生了解一些关于PLD的基本知识就可以。

辅助手段:使用例题来讲述,让学生能够得到很好的复习。

师生互动:先出例题,然后让学生独立完成,并抽调学生来演示完成过程,最后老师写出正确答案。

时间分配:前面30分钟,主要是讲述PLD的分类和发展历史,以PPT的切换,做一个浏览高阔性介

绍,后面的60分钟是复习数字逻辑基础,用20分钟来大概拉一遍数字逻辑,再用40分

钟完成两个设计的实例。

板书设计:前面30分钟不需要板书,后面的40分钟举例用板书完成。

作业及课外训练:课后习题第四题

参考资料(含参考书、文献等):《数字电子技术基础》协助完成作业

课后自我总结分析:

周次理论3编写时间

章节名称3.1VHDL基本语法

教学目的与要求:通过一些简单而典型的VHDL设计示例,引出相关的VHDL语言现象和语句规则,并

对基本语法加以有针对性的说明。

教学重点和难点:重点是VHDL的基本结构;难点是VHDL结构中的一些典型的语法。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次教学以数字电路中最最典型的组合数字电路——多路选择器2选1为例,引出VHDL基本结构、

语句表述、数据规则和语法特点,并加以详细说明。在引出的例题只有,本节课主要讲述VHDL结

构和常用语法并且距离说明这些语法的具体使用方法。主要讲述有:端口定义、数据类型、条件语句、

条件信号赋值语句、文件取名和存盘。

每讲述到一个语句的时候就要附带一个或者多个例题作为示范,加强学生的印象,并且列举一两个小

问题,抽学生现场解答,用这样的师生互动教学手段让学生能够达到活学活用的效果。

在时间分配上,前面40分钟主要是用例题引出讲述内容并且讲述其结构和端口定义,后面的50分钟

讲述所有的基本语句并且举例使用。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):《VHDL电路设计》

课后自我总结分析:

周次理论4编写时间

章节名称3.2时序电路描述3.3全加器的VHDL描述3.4计数器的设计

教学目的与要求:通过三个章节的讲述,要求学生掌握时序逻辑电路的VHDL描述方法,并且能够自

己用VHDL语言完成时序逻辑电路的设计。

教学重点和难点:重点是时序逻辑电路的描述方法;难点是VHDL完成时序逻辑电路设计。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

与其它硬件描述语言相比,在是学电路的描述上,VHDL语言有许多独特之处,最明显的就是VHDL

语言主要通过对忖序器件功能和逻辑行为的描述,而非结构上的描述。这使得计算机综合出符合要求

的时序电路,从而充分体现了VHDL电路系统行为描述的强大功能。本次课程从最简单的基本是学

逻辑单元D触发器为例,引出整个忖序逻辑电路设计的描述规则、描述方法、并且借助于全加器的描

述而逐•讲述出两种典型的语句,最后以计数器为例,通过对计数器的设计让学生对时序逻辑电路的

VHDL描述有了一个更深刻的认识、

在时间分配上,前面30分钟主要是用例题引出讲述内容并且讲述VHDL设计时序电路的描述规则、

描述方法;中间40分钟讲述计数器设计并讲述相关的语法使用,并用大量的举例和课堂练习让学生

深刻掌握;后面的20分钟讲述计数器的设计和相关语法内容。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):《VHDL电路设计》

课后自我总结分析:

周次理论5编写时间

章节名称3.6数据对象3.7if语句概述3.8进程语句归纳3.9并行赋值语句概述3.10双

向和三态电路信号赋值3.11仿真延时

教学目的与要求:通过这几章节的讲述,要求学生掌握VHDL语法上的数据使用方法,if语句使用方

法,并且能够在学习完第三章以后,初步具备VHDL语言的独立编程能力。

教学重点和难点:重点是数据对象的使用以及if语句的使用和进程语句的特点掌握;难点是具体编

程时对以上三个重点的把握。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

与其它硬件描述语言相比,在是学电路的描述上,VHDL语言有许多独特之处,最明显的就是VHDL

语言主要通过对忖序器件功能和逻辑行为的描述,而非结构上的描述。这使得计算机综合出符合要求

的时序电路,从而充分体现了VHDL电路系统行为描述的强大功能。本次课程重点讲述VHDL中的

三种数据类型,以及它们的使用方法,并且向学生提出使用时的注意事项,最后要举例说明其使用方

法。IF语句概述主要是用例题讲述其使用方法和注意事项。后面几节内容简要讲述,其中三态门的设

计可以用例题的形式讲解。

在时间分配上,前面30分钟主要是书觉类型的使用注意事项讲述,并用例题让学生加深了解;中间

20分钟讲述IF语句的使用,并用大量的举例和课堂练习同事抽取学生完成例题,采用这样的师生互

动形式让学生掌握知识点:后面的40分钟讲上述3.8-3.11的内容,主要是概述以及实际应用举例讲

述。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论6编写时间

章节名称第四章Quartusll使用方法

教学目的与要求:通过一些操作演示,让学生了解掌握QuartusII软件的基本使用方法。要求学生学

握原理图和代码输入调用宏模块调试编译输出波形和RTL电路的方法了解signaltapll和

in-systemmemorycontenteditor这两个调试工具

教学重点和难点:

重点是QUARTUSH的使用方法、基本设计流程、测试工具的使用方法;

难点是针对不用的设计如何使用quartusll软件的其它功能来辅助自己完成设计。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次课主要讲述quartusll的基本设计流程、测试工具的使用方法、LPM模块的使用方法及原理图设

计方法等。VHDL完成电路设计后,必须借助于EDA工具(本课程采用quartusll)中的综合器、适配

器、时序仿真器和编程器等工具进行响应的处理,才能够使设计在FPGA上完成硬件实现并得到硬件

测试。

本次课的主要教学方式是在电脑上进行现场软件使用演示,抽取学生回答问题,或者当场进行重复操

向学生讲解常用的宏模块的功能重点讲解锁相环和存储器

为了预备软件失效所有操作都录制有幻灯片

演示和学生操作时间比例为1比2

提供一中文版的Quartusll入门PDF教程供学生遗忘后查找

作业及课外训练:主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论7编写时间

章节名称第五章VHDL状态机概述5.1到5.2

教学目的与要求:

掌握状态机的基本常识和moore状态机的设计

有限状态机及其设计技术是实用数字系统设计中的重要组成部分,也是实现高效率高可靠性逻辑控制

的重要途径。

教学重点和难点:

重点是状态机的相关语法现象moore状态机的设计方法;

难点是1进程和2进程状态机的转化状态转移图和VHDL代码的转化

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

枚举类型定义状态机的状态,这是一个新的语法现象。

基本的状态机结构:一个存储当前状态的机构,•个并行多分支结构,控制状态的跳转和对应输入的

输出O

1进程和2进程状态机的在代码结构上的区别

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。最后留一些时间要学生上来画出状态机的状态

转移图。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):~《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够枳极配合教学。

周次理论8编写时间

章节名称第五章VHDL状态机概述5.3到5.5

教学目的与要求:

要求学生掌握米利状态机的设计方法性能。比较米利状态机和摩尔状态机的区别。

了解三种不同的状态机编码的方式应该如何设计或者应该如何在QuartusII软件里面调整设置

了解状态机状态编码处于异常状态时应该如何处理

教学重点和难点:

重点是米利机的设计和性能

难点是摩尔机和米利机的性能比较。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次课主要讲述米利状态机的设计方法,状态机编码的方式,状态机状态编码处于异常状态时应该如

何处理。

本次课的主要教学方式是由老师讲述分析代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。最后留一些时间要学生上来根据状态转移图写

出状态机代码。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论9编写时间

章节名称第六章16位CISCCPU的设计6.1

教学目的与要求:

第六章详细介绍了一个基于VI1DL状态机的16位复杂指令集计算机的设计流程。本节介绍的是CPU的

系统结构的设计。

教学重点和难点:

重点是CPU的框架结构

难点是总线和控制线是如何与CPU的部件连接的

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次课主要讲本节介绍的是CPU的系统结构的设计。包括CPU的各个部件是如何互相连接的;这个

CPU的指令集。以及•个调用这些指令做出来的汇编程序例子。CPU顶层的程序包定义和元件例化调

用。CPU顶层的原理图设计。

本次课的主要教学方式是由老师讲述分析原理图电路图和代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论10编写时间

章节名称第六章16位CISCCPU的设计6.2

教学目的与要求:

第六章详细介绍了一个基于VI1DL状态机的16位复杂指令集计算机的设计流程。本节介绍的是CPU的

基本部件的设计。

教学重点和难点:

重点是运算器和移位寄存器

难点是CPU基本部件对程序包的调用

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次课主要讲本节介绍的是CPU的基本部件的设计。包括CPU的运算器比较器移位寄存器寄存器

和寄存器阵列以及.三态寄存器

主要分析这些CPU的基本部件是如何调用程序包的定义来实现设计的

本次课的主要教学方式是由老师讲述分析代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论1112编写时间

章节名称第六章16位CISCCPU的设计第六章剩余部分

教学目的与要求:

第六章详细介绍了一个基于VI1DL状态机的16位复杂指令集计算机的设计流程。本节介绍的是CPU的

指令系统的设计。

教学重点和难点:

这是这本书当中最难的部分。最难,没有之一。4个学时

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次课主要讲本节介绍的是CPU的指令系统的设计的设计。即CPU的控制器这个状态机是如何进行状

态跳转,翻转那些输出控制线,调动CPU内部的那些部件,完成指令集中的那些指令所对应的操作,

以及CPU的复位和下一条指令的读取等操作。

主要分析这些CPU的基本部件是如何调用程序包的定义来实现设计的

本次课的主要教学方式是由老师讲述分析代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论13编写时间

章节名称第七章VHDL语句7.1顺序语句

教学目的与要求:

系统总结顺序语句

教学重点和难点:

重点是流程控制和子程序调用语句

难点是也流程控制和子程序调用语句

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

顺序语句是相对并行语句而言的,其特点是每一条语句的执行顺序基本和书写顺序是一致的,顺序语

句只能出现在进程和子程序中,其基本类型有六类:赋值语句、流程控制语句、等待语句和子程序调

用语句、返回语句和空操作语句

本次课的主要教学方式是由老师讲述分析代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论14编写时间

章节名称第七章VHDL语句7.2并行语句

教学目的与要求:

系统总结并行语句

教学重点和难点:

重点是进程语句块语句过程调用语句

难点是块语句过程调用语句

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

并行语句有多种语句格式,各种并行语句在结构体中的执行是同步的,更严格的说并行语句在执行顺

序的地位上是相等的,其执行顺序和书写顺序无关。并行语句之间可以有信息来往,也可以互相独立,

互不相关。并行语句内部的语句可以是并行也可以是顺序的。

本次课的主要教学方式是由老师讲述分析代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论15编写时间

章节名称第七章VHDL语句7.3-7.5

教学目的与要求:

学习属性描述语句分析DDS和频率相位计这两个例子

教学重点和难点:

重点是DDS直接数字式合成器

难点是DDS的层次化设计

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

讲述属性的定义和描述

重点详细分析DDS的层次化设计底层代码顶层原理图

分析频率相位计的例子

本次课的主要教学方式是由老师讲述分析代码

在时间分配匕主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论16编写时间

章节名称第八章VHDL结构

教学目的与要求:

总结学习VHDL结构相关的语法分析乐曲硬件演奏电路的代码

教学重点和难点:

重点是VHDL结构相关的语法

难点是乐曲硬件演奏电路的代码

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

VHDL结构包括实体、结构体子程序、库、程序包、配置、和底层的语法规则

详细分析乐曲硬件演奏电路的代码为实验课做准备

本次课的主要教学方式是由老师讲述分析代码

在时间分配上,主要时间都是由老师讲述,在分析代码的时候,老师如果已经在2、3分钟前分析过

类似结构,可以叫学生起来分析提醒学生注意听讲。

用多媒体PPT板书列写重点和要点以及基本语句结构,用手写板书书写例题和程序部分语句的使用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次理论17编写时间

章节名称第九、十章DSPbuilder

教学目的与要求:

入门性介绍DSPbuilder的用法和功能

教学重点和难点:

入门性介绍

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

本次课程是由老师演示操作,对DSPbuilder做一个入门性介绍

发给学生一个操作流程截图的ppt,以备学生以后深入学习时,复习入门用。

作业及课外训练:

主要以课堂作业为主,抽取学生现场使用语句编程。

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次习题课1编写时间

章节名称三人表决器和流水线乘法器

教学目的与要求:

习题练习巩固第三章的知识

教学重点和难点:

三人表决器要用各种语法来描写充分起到练习作用

流水线乘法器有点难度,要先向学生讲清其功能

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

上次课下课时布置题目,这次课评讲。

先提示学生分模块描述电路,一个个子模块分开解决,再拼接起来解决整个电路

最后提供代码给学生对比检查,并分析代码

作业及课外训练:

要交作业本

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次习题课2编写时间

章节名称UART通用异步收发器

教学目的与要求:

习题练习巩固状态机知识

教学重点和难点:

串并转换的电路接受和发送的状态机毛刺处理和奇偶检校

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

上次课下课时布置题目,这次课评讲。

先提示学生分模块描述电路,一个个子模块分开解决,再拼接起来解决整个电路

最后提供代码给学生对比检查,并分析代码

作业及课外训练:

要交作业本

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次习题课3编写时间

章节名称FIR滤波器的设计

教学目的与要求:

扩展知识用FIR滤波器演示流水线的应用

教学重点和难点:

FIR的原理乘加运算的DSP块用延时寄存器做流水线寄存器的FIR经典结构

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

上次课下课时布置题目,这次课评讲。

先提示学生分模块描述电路,一个个子模块分开解决,再拼接起来解决整个电路

最后提供代码给学生对比检查,并分析代码

作业及课外训练:

要交作业本

参考资料(含参考书、文献等):

《VHDL电路设计》

课后自我总结分析:该堂课教学效果不错,学生能够积极配合教学。

周次试验1编写时间

章节名称试验1QuartursH使用说明

教学目的与要求:总体课程呢容概述,让学生掌握

教学重点和难点:本章重点是:语言的编译和仿真,难点是:语言的错误检查以及仿真通过。

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

QuartursH使用说明,QuartursII概述,建立和编辑一个VHDL语言的工程文件,VHDL语言程序的编

译,VHDL语言程序的仿真。要求学生:能够独立在QuartursH中完成一个VHDL语言的电路设计

教学方法:给学生仔细演示quartusll的基本设计流程,测试工具的使用方法、LPM模块的使用方法以

及原理图设计方法等,让学生学习后可以独立完成实验。

辅助手段:在实验室中完成,利用教学广播,让所有学生能够清楚看到自己的操作过程。

师生互动:在老师演示完具体的设计之后,给学生留下设计任务,让学生重复教师的设计过程,教师

从中指导建议,并帮助学生有效率地完成整个设计。

时间分配:教师演示操作作为示范,该时间需要控制在30分钟以内,剩下的60分钟需要留给学生独

立完成设计。

板书设计:该次课给试验课,因此不需要板书设计。

作业及课外训练:用quartusll完成一个六十进制的计数器(原理图设计方法)完成编译通过。

参考资料(含参考书、文献等):《数字电子技术基础》教材,《quartusll安装使用方法》

课后自我总结分析:学生初次使用软件,同时软件属于英文软件,许多学生用起来不适应,需要让学

生在课后多加以练习。

周次试验2编写时间

章节名称试验2组合电路和时序电路的设计

教学目的与要求:通过最简单的实例入手,让学生掌握组合与时序这两种基本电路类别的设计方法,

了解用quartusll进行代码输入编译仿真验证时序分析输出RTL级电路图

教学重点和难点:本章重点是:组合与时序电路的基本描述方法,

难点是:有意识和无意识的锁存综合

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

要求学生建立工程输入代码编译成功后生成仿真波形图即可

本门课程几乎所有实验都是书上的例题,再稍加变化。而我增加的实验在上理论课时都详细的讲解过,

无需再反复讲解。布置题目之后要求学生直接去做即可。对于个别有疑问者再单独解答。如果一个问

题有2人重复提出即对全班同学做一个统一讲解。

提醒学生注意

1工程名实体名和代码中顶层模块名字三者一致

2分支结构的完备与否关系到是否有锁存器综合出来

3器件原因造成的仿真时序波形图的延迟

4反复提醒学生注意收集quartusll的错误提示信息,查找英文提示的对应中文解释

作业及课外训练:

参考资料(含参考书、文献等):《数字电子技术基础》教材,《quartusll安装使用方法》

课后自我总结分析:

周次试验3编写时间

章节名称试验3含异步清零和同步时钟使能的加法计数器的设计

教学目的与要求:个标准的计数器的代码设计。初步了解什么叫异步什么叫同步进行代码输入编

译仿真验证时序分析输出RTL级电路图

教学重点和难点:本章重点是:典型计数器的设计

难点是:同步时序电路和异步时序电路的区别

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

要求学生建立工程输入代码编译成功后生成仿真波形图即可

本门课程几乎所有实验都是书上的例题,再稍加变化。而我增加的实验在上理论课时都详细的讲解过,

对实验本身无需再反复讲解。布置题目之后要求学生直接去做即可。对于个别有疑问者再单独解答。

如果一个问题有2人重复提出即对全班同学做一个统一讲解。

需要提醒学生注意的

1给学生分析讲解EPGA中的全局时钟和全局清零资源

2使用全局时钟资源对电路时序的影响

3同步和异步电路的区别和功用

4反复提醒学生注意收集quartusll的错误提示信息,查找英文提示的对应中文解释

作业及课外训练:

参考资料(含参考书、文献等):《数字电子技术基础》教材,《quartusll安装使用方法》

课后自我总结分析:

周次试验4编写时间

章节名称试验4正弦信号发生器的设计

教学目的与要求:调甲宏向导利用部分FPGA的片内存储器建立一个ROM在rom里面存储正弦信号

采样值然后外加一,、计数器将正弦值顺序输出形成一个正弦波进行宏调用代码输入编译仿真验

证时序分析输出RTL级电路图

教学重点和难点:本章重点是:宏模块的调用

难点是:常见宏模块及其功能

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

要求学生建立工程输入代码编译成功后生成仿真波形图即可

本门课程几乎所有实验都是书上的例题,再稍加变化。而我增加的实验在上理论课时都详细的讲解过,

对实验本身无需再反复讲解。布置题目之后要求学生直接去做即可。对于个别有疑问者再单独解答。

如果一个问题有2人重复提出即对全班同学做一个统一讲解。

向学生补充讲述:

1FPGA中的锁相环及其宏调用

2FPGA中的片内存储器及其宏调用

3FPGA中的内嵌DSP模块及其宏调用

4反复提醒学生注意收集quartusll的错误提示信息,查找英文提示的对应中文解释

作业及课外训练:

参考资料(含参考书、文献等):《数字电子技术基础》教材,《quartusll安装使用方法》

课后自我总结分析:

周次试验5编写时间

章节名称试验5七段数码显示译码器的设计

教学目的与要求:创建一个在七段数码管上循环显示0到9的电路

教学重点和难点:

本章重点是:并行分支语句case的调用

难点是:case语句和if语句的比较

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

要求学生建立工程输入代码编译成功后生成仿真波形图即可

本门课程几乎所有实验都是书上的例题,再稍加变化。而我增加的实验在上理论课时都详细的讲解过,

对实验本身无需再反复讲解。布置题目之后要求学生直接去做即可。对于个别有疑问者再单独解答。

如果一个问题有2人重复提出即对全班同学做一个统一讲解。

向学生补充讲述:

1七段数码管的三极管电流放大驱动

2七段数码管的74hc244电流放大驱动

3FPGA的io引脚的负载能力

4反复提醒学生注意收集quartusll的错误提示信息,查找英文提示的对应中文解释

作业及课外训练:

参考资料(含参考书、文献等):《数字电子技术基础》教材,《quartusll安装使用方法》

课后自我总结分析:

周次试验6编写时间

章节名称试验6序列检测器的设计

教学目的与要求:通过序列检测器这个最简单的状态机,加深掌握状态机的设计特性与功能进行代

码输入编译仿真验证时序分析输出RTL级电路图

教学重点和难点:本章重点是:状态机的设计

难点是:1进程和2进程状态机的转化

教学组织(含课堂教学内容、教学方法、辅助手段、师生互动、时间分配、板书设计等):

要求学生建立工程输入代码编译成功后生成仿真波形图即可

本门课程几乎所有实验都是书上的例题,再稍加变化。而我增加的实验在上理论课时都详细的讲解过,

对实验本身无需再反复讲解。布置题目之后要求学生直接去做即可。对于个别有疑问者再单独解答。

如果一个问题有2人重复提出即对全班同学做一个统一讲解。

向学生补充讲述:

11进程和2进程状态机的时延差异

21进程和2进程状态机的毛刺多少

3有限状态机与纯硬件控制电路

4反复提醒学生注意收集quartusll的错误提示信息,查找英文提示的时应中文解释

作业及课外训练:

参考资料(含参考书、文献等):《数字电子技术基础》教材,《quartusll安装使用方法》

课后自我总结分析:

周次试验7编写时间

章节名称试验7CPU的运算器和移位器

教学目的与要求:通过CPU的运算器和移位器这两个基本计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论