《电工电子技术》课件-模块九 时序逻辑电路_第1页
《电工电子技术》课件-模块九 时序逻辑电路_第2页
《电工电子技术》课件-模块九 时序逻辑电路_第3页
《电工电子技术》课件-模块九 时序逻辑电路_第4页
《电工电子技术》课件-模块九 时序逻辑电路_第5页
已阅读5页,还剩85页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1.时序逻辑电路概念、特点等基础知识。2.RS触发器、JK触发器、D触发器、T触发器、T′触发器的逻辑功能及应用。3.555定时器的功能及三种典型的应用电路。4.时序逻辑电路的分析方法,中规模集成计数器、寄存器的逻辑功能及应用。知识点知识目标:1.理解时序逻辑电路的概念,了解时序逻辑电路的分类。2.掌握各类触发器的逻辑功能。3.掌握555定时器的功能及三种典型的应用电路。4.掌握简单时序逻辑电路的分析方法。5.掌握常用集成计数器、寄存器的逻辑功能。能力目标:1.能根据触发器逻辑符号,识读其功能。2.能用555定时器构成多谐振荡器、定时电路。3.能够查阅手册,识读集成触发器、计数器和寄存器的引脚和功能。4.会使用集成触发器、计数器、寄存器等器件。目标案例导入都市的夜晚,霓虹灯闪烁变幻;绚丽的舞台,七彩的灯光色彩缤纷。变幻的彩灯已经成为人们日常生活不可缺少的点缀,那么用电子技术知识如何实现流水彩灯的控制呢?触发器1555定时器2计数器3寄存器4主要内容1、触发器的基本特性:(1)记忆功能;(2)有两个互补输出端Q和概述Q类型:RS、D、JK、T、Tˊ

五种触发器。功能描述:特性方程(表达式)、功能表、时充波形图。2、触发器的类型和逻辑功能的描述触发器是构成时序逻辑电路的基本单元一、

基本RS触发器

工作原理及逻辑功能QQSDRDG1G2100111触发器被置111011000SDRD

功能说明输入QQ输出

置010

置1019.1.1RS触发器9.1触发器QQSDRDG1G211&&11011000SDRD

功能说明输入QQ输出

置010

置101一、

基本RS触发器

工作原理及逻辑功能保持不变QQSDRDG1G20011当RD和SD同时0→1时,输出状态可能为0,也可能为1,即输出状态不定。

因此,这种情况禁用。一、

基本RS触发器工作原理及逻辑功能逻辑功能的特性表描述特性表:触发器次态与输入信号和电路原有状态之间关系指触发器在输入信号变化前的状态,用Qn

表示。指触发器在输入信号变化后的状态,用Qn+1表示。次态现态00001×状态不定0×1010100置000101101置1111110011保持原状态不变说明Qn+1QnSDRD一、

基本RS触发器基本RS触发器特性表的简化表示Qn11101010不定00Qn+1SDRD逻辑功能的特性表描述

置0端RD和置1端SD低电平有效禁用RD=SD=0。称约束条件注意一、

基本RS触发器波形分析举例解:【例】设下图中触发器初始状态为0,试对应输入波形,画出Q和Q的波形。QQSDRDSRSDRD保持初态为0,故保持为0。置

0保持QQ置

1同学简述原理应用举例:防抖开关A一、

基本RS触发器优点缺点电路简单,是构成各种触发器的基础。1.输出受输入信号直接控制,不能定时控制。2.有约束条件。优缺点一、

基本RS触发器

二、

同步RS触发器实际工作中,触发器的工作状态不仅要由触发输入信号决定,而且要求按照一定的节拍工作。为此,需要增加一个时钟控制端CP。

CP即ClockPulse,它是一串周期和脉宽一定的矩形脉冲。

具有时钟脉冲控制的触发器称为时钟触发器,又称钟控触发器。同步触发器是其中最简单的一种,而基本RS触发器称异步触发器。(1)电路结构与工作原理QQG1G2SRG3G4CPQ3Q4工作原理★CP=0时,G3、G4被封锁,输入信号R、S不起作用。基本RS触发器的输入均为1,触发器状态保持不变。★CP=1时,G3、G4解除封锁,将输入信号

R和S取非后送至基本

RS触发器的输入端。0111SR基本

RS

触发器时钟

CP

控制

二、

同步RS触发器(2)逻辑功能与符号QQ1SC11R

QQG1G2SRG3G4CPQ3Q4不定11001110Qn00Qn+1SRRS功能

R、S信号高电平有效SSDRRDRDSD

二、

同步RS触发器RDCPRQQ1SSC1CPR1RRSVCCRDS解:【例】试对应输入波形画出下图中Q端波形。原态未知QVCCRDRD0000101010101011010110001111×0×1Qn+1QnSR特性表同步RS触发器Qn+1的卡诺图RSQn0100011110×

×

1

1

1

特性方程RS=0(约束条件)(3)特性表与特性方程

二、

同步RS触发器一、

主从JK触发器工作特点:CP=1期间,主触发器接收输入信号;CP=0期间,主触发器保持CP下降沿之前状态不变,而从触发器接收主触发器状态。9.1.2JK触发器(1)主从JK触发器的电路结构及逻辑符号由四种不同输入组合的分析,可得出JK触发器的逻辑功能如表:JKQnQn+1功能说明000101Qn+1=Qn

保持010100Qn+1=0置0100111Qn+1=1置1110110翻转功能由表可得JK触发器的特性方程:(2)JK触发器的特性表与特性方程下图是主从JK触发器的波形图,由此能更直观地看出触发器的状态改变是在时钟脉冲从1→0时才发生的。

主从JK触发器的波形图(3)JK触发器的波形图【例9-1】设主从JK触发器的时钟脉冲和J、K信号的波形如图所示,画出输出端Q的波形,设触发器的初始状态为0。例9-1图解:根据JK触发器的特性可画出输出端Q的波形,如图所示。从图可以看出,触发器的Q端的状态改变发生在时钟脉冲由1→0的下降沿。判断触发器次态的依据是脉冲为1期间主触发器输出端的状态。工作特点:只能在CP上升沿(或下降沿)时刻接收输入信号,因此,电路状态只能在CP上升沿(或下降沿)时刻翻转。而在CP=0或CP=1期间,输入信号的变化对触发器的状态均无影响。TTL

维持阻塞

D

触发器(通常上升沿触发)TTL

边沿

JK触发器(通常下降沿触发)CMOS

边沿

D

触发器和边沿

JK

触发器(通常上升沿触发)二、

边沿JK触发器9.1.2JK触发器常见边沿触发器:QQ1JJCP1KKC1CP触发的边沿JK触发器C1具有异步端的边沿

JK

触发器

QQ1JJCP1KKRSC1RDSDRRDSSD二、

边沿JK触发器逻辑符号9.1.2JK触发器边沿JK触发器与主从JK触发器有同样的特性方程:应用举例:应用74HC112中的一个JK触发器便可组成如图所示的单按钮电子开关电路。图中引脚2、3、16接电源+VCC,即有1J=1K=1,电路为计数翻转状态。4、15脚也与电源接,即,异步置0、置1功能处于无效状态。手指每按一下开关SB,1Q的输出状态就翻转一次。若原来1Q为低电平,它使三极管VT截止,继电器KA失电不工作,按一下开关SB,1Q翻转为高电平,VT饱和导通,继电器KA得电工作。若再按一下SB,则1Q翻转恢复为低电平,VT截止,继电器KA失电停止工作。通过继电器KA,可以控制其它电器的开停。如台灯、电风扇等等。QQC1CP1DDCP触发的边沿D触发器C1QQC1CPD具有异步端的边沿

D

触发器

1DSSDRRDRRDSSD9.1.3D触发器(边沿)(1)边沿D触发器的逻辑符号Qn+1

=

D11↑11CP

时00↑11Qn×111保持Qn×011禁用不定态××00异步置11××01异步置00××10说明Qn+1DCPSDRD特性方程:特性表(上升沿):Qn+1=D(2)D触发器的特性表和特性方程9.1.3D触发器(边沿)Q1Q1CPDC11D(a)(b)SC1R1DCPQ2Q2解:【例9-2】设触发器初态为

0,试对应输入波形画出

Q1、Q2的波形。DCPQ1Q2D触发器特性方程为Qn+1=D功能是翻转因此C110C1该电路的功能是:在时钟触发沿到达时状态发生翻转,这种功能称为计数功能,相应触发器称为计数触发器。JCPKT解:【例9-3】设触发器初态为

1,试对应输入波形画出

Q1、Q2的波形。Q1Q1CPJC11J(a)(b)SC1R1KCPQ2Q2K1K1JTVCCC111001001SVCCRC1CP1JT1K1010CP之前

J、K最后取值为

1CP之前

J、K最后取值为

0Q1Q2

T=0时,Qn+1=Qn

T=1时,Qn+1=Qn

这种功能称T功能,相应触发器称T触发器。(1)弄清时钟触发沿是上升沿还是下降沿。(2)弄清有无异步输入端,异步置0端和异步置1端是低电平有效还是高电平有效。(4)边沿触发器的逻辑功能和特性方程与同步触发器的相同,但由于触发方式不一样,因此,它们的逻辑功能和特性方程成立的时间不同。边沿触发器的逻辑功能和特性方程只在时钟的上升沿(或下降沿)成立。(3)异步端不受时钟CP控制,将直接实现置0或置1。触发器工作时,应保证异步端接非有效电平。边沿触发器小结★触发器由门电路构成,因此,门电路的应用注意事项在这里多适用。例如,TTL触发器的输入端悬空相当于输入高电平,而CMOS触发器的输入端不允许悬空。★实际工作中,应根据需要选定触发器的功能和触发方式。例如:同步触发器通常只用于数据锁存,构成计数器、移位寄存器时一般要用边沿触发器。边沿触发器小结

9.2555定时器及其应用

555定时器是一种结构简单、使用方便灵活、用途广泛的多功能电路。它电源电压范围宽(双极型555定时器为5~16V,CMOS555定时器为3~18V),可提供与TTL及CMOS数字电路兼容的接口电平,还可输出一定功率,驱动微电机、指示灯、扬声器等。

TTL单定时器型号的最后3位数字为555,双定时器的为556;CMOS单定时器的最后4位数为7555,双定时器的为7556。9.2.1555定时器的结构及功能C1C2G3QQG1G2RSVR5k

5k

5k

UR1UR2GNDVCCRDOUTCO555THTRDIS电路符号627153843OUT输出端

8VCC电源端

4RD直接置0端

DIS7放电端TH6阈值输入端TR2触发输入端CO5控制电压输入端

GND1接地端

集电极开路输出端UR1UR2构成电阻分压器,为比较器

C1、C2提供两个参考电压,UR1=2/3VCC,UR2=1/3VCC。

输出缓冲器OUT=Q构成基本RS触发器,决定电路输出。

放电管,其输入为Q,输出为开路集电极。Q构成电压比较器,比较TH与UR1和TR与

UR2的大小。C1C2G3QQG1G2RSVR5k

5k

5k

UR1UR23OUT输出端

8VCC电源端

4RD直接置0端

DIS7放电端TH6阈值输入端TR2触发输入端CO5控制电压输入端

GND1接地端

UR1UR2Q一、555定时器电路结构、封装、引脚、电路符号555电路结构一、555定时器电路结构、封装、引脚、电路符号GNDVCCRDOUTCO555THTRDIS62715384封装、引脚、电路符号不变不变1截止11导通01导通00放电管V

OUTRD输出输入TRTH××(1)

RD低电平有效,优先级最高,

不用时应接高电平。(3)

TR低电平有效,TH高电平

有效,因此,TH加低电平、

TR加高电平时为非有效电

平,电路状态不变。(4)输出

0

时,Q

=

1,因此

V

导通;输出

1

时,

Q

=

0,故

V

截止。(5)注意:①

TH

电平高低是与

2/3VCC相比较,TR

电平高低是与

1/3VCC相比较。②若控制输入端

CO

加输入电压

uCO,则

UR1=

uCO

UR2=

uCO/2,故

TH

TR

电平高低的比较值将变成

uCO和

uCO/2。(2)TH和TR均为高电平时输出

0,均为低电平时输出

1。二、555定时器功能功能表及使用要点555定时器构成的施密特触发器555定时器构成的单稳态触发器555定时器构成的多谐振荡器9.2.2555定时器三种典型应用电路GNDVCCRDOUTCO555THTRDISVCC0.01

FR1CuOuC-+R2一、555定时器构成多谐振荡器DISVCCR1THTRGNDVCCRDCO0.01

FOUTuOCuC-+R2电路结构uCOtOuOtUOLUOHⅡⅠtWHtWLⅠⅠⅡ充电UOHTH=TR=uC很小Ⅰ接通VCC后,开始时TH=TR=uC

0,uO为高电平,放电管截止,VCC经R1、R2向C充电,uC

上升,这时电路处于暂稳态Ⅰ。

工作原理uCOtOuOtUOLUOHⅡⅠtWHtWLⅠⅠⅡUOLTH

=

TR

2/3

VCC

当uC上升到

TH=TR=uC

2/3

VCC时,uO跃变为低电平,同时放电管

V导通,C经R2和V放电,uC

下降,电路进入暂稳态Ⅱ。Ⅱ

接通VCC后,开始时TH=TR=uC

0,uO为高电平,放电管截止,VCC

经R1、R2向C充电,uC

上升,这时电路处于暂稳态Ⅰ。

放电工作原理

当uC下降到

TH=TR=uC

≤1/3

VCC时,uO重新跃变为高电平,同时放电管V截止,C又被充电,uC

上升,电路又返回到暂稳态Ⅰ。uCOtOuOtUOLUOHⅡⅠtWHtWLⅠⅠⅡTH=TR≤1/3VCCⅠ

当uC上升到

TH=TR=uC≥

2/3

VCC时,uO跃变为低电平,同时放电管

V导通,C经R2和V放电,uC下降,电路进入暂稳态Ⅱ。

接通VCC后,开始时TH=TR=uC

0,uO为高电平,放电管截止,VCC经R1、R2向C充电,uC

上升,这时电路处于暂稳态Ⅰ。

工作原理电容C如此循环充电和放电,使电路产生振荡,输出矩形脉冲。uCOtOuOtUOLUOHⅡⅠtWHtWLⅠⅠⅡtWH

0.7(R1+R2)CtWL

0.7R2CT=tWH+tWL

0.7(R1+2R2)C周期与占空比估算GNDVCCRDOUTCO555THTRDISVCC0.01

FR1C+R2RP1RP2

[例]指出右图中控制扬声器鸣响与否和调节音调高低的分别是哪个电位器?若原来无声,如何调节才能鸣响?欲提高音调,又该如何调节?解:

R1、R2、RP1和C共同构成定时元件,因此调节RP1可调节音调高低。RP2调节RP2可控制RD为0或1,从而控制振荡器工作与否,因此能控制扬声器鸣响与否。调节RP2使触头左移至适当位置,可使RD=1,使扬声器鸣响。RP1GNDVCCRDOUTCO555THTRDISVCC0.01

FRCuIuOuC-+二、555定时器构成单稳态触发器DISTHVCCRR、C为定时元件TRuIOUTuOGNDVCCRDCO0.01

FCuC-+电路结构1.稳定状态

接通电源后VCC经R向C充电,使uC

上升。该电路触发信号为负脉冲,不加触发信号时,uI=

UIH(应

>

1/3

VCC)。

当uC

≥2/3VCC时,满足TR=uI>1/3VCC,TH=uI≥2/3VCC,因此uO为低电平,V导通,电容C经放电管V迅速放电完毕,uC

0V。这时TR=UIH>1/3VCC,TH=uC

0<2/3VCC,uO保持低电平不变。因此,稳态时

uC

0V,uO为低电平。uCOtOuOtUOLUOHtWOOtUIHuItWIVCCUILUOH充电工作原理

2.触发进入暂稳态

uCOtOuOtUOLUOHtWOOtUIHuItWIVCC

当输入uI由高电平跃变为低电平

(应<1/3VCC)时,使

TR=UIL<1/3VCC而TH=uC

0V<2/3VCC,因此uO跃变为高电平,进入暂稳态,这时放电管V截止,VCC又经R向C充电,uC

上升。UILUOH充电3.自动返回稳定状态

uCOtOuOtUOLUOHtWOOtUIHuItWIVCC2.触发进入暂稳态

UIHUOL

TH≥2/3VCC放电V

当输入uI由高电平跃变为低电平

(应<1/3VCC)时,使

TR=UIL<1/3VCC而TH=uC

0V<2/3VCC,因此uO跃变

为高电平,进入暂稳态,这时放电管V截止,VCC又经R向C充电,uC

上升。这时uI必须已恢复为高电平

当uC

上升到uC

≥2/3VCC时,

TH

=

uC

≥2/3

VCC,而TR=uI=

UIH(>1/3VCC),因此uO重新跃变为低电平。同时,放电管导通,C

经V迅速放电uC

0V,放电完毕后,电路返回稳态。[例]用上述单稳态电路输出定时时间为1s的正脉冲,R=27k

,试确定定时元件

C的取值。

uCOtOuOtUOLUOHtWOOtUIHuItWIVCC输出脉冲宽度tW即为暂稳态维持时间,主要取决于充放电元件R、C。

该单稳态触发器为不可重复触发器,且要求输入脉宽tWI小于输出脉宽tWO。解:因为

tWO

1.1RC故可取标称值

33

F。估算公式tWO

1.1RC课堂讨论:叙述电路原理三、555定时器构成施密特触发器0uOuIUOL1/3VCC2/3VCCUOH当TH=TR=uI>2/3VCC时电压传输特性为反相输出的滞回特性uIuO当TH=TR=uI<1/3VCC时1/3VCC0当1/3VCC<TH=TR=uI<2/3VCC时当uI<1/3VCC时当uI由高电平逐渐下降,且1/3VCC<uI<1/3VCC时不变不变1截止11导通01导通00V状态OUTRD输出输入TRTH××UT+=2/3VCCUT-

=1/3VCC

UT=UT+-

UT-

=1/3VCC电路结构、工作原理OuO/Vt184355557+12V0.01

FuIuO260uI/Vt246810THTRuIuO+12VUT+UT-abcdefUOHUT+=2/3VCC=8VUT-

=1/3VCC=4V因此可画出输出波形为工作波形应用举例1.定时应用单稳态触发器可以构成定时电路,与继电器或驱动放大电路配合,可实现自动控制、定时开关的功能,如图所示是一个典型定时电路。若改变电路中的电阻RW或C,均可改变定时时间。每按动一次开关SB,电路就进入定时状态一次,所以这种电路适用于需要手动控制定时的工作场合。平时按钮开关SB为常开状态,555定时器的3脚输出为低电平,此时内部放电管截止,电容上的电压为0。继电器KA(当继电器无电流通过时,常开接点处于断路状态)无通过电流,故形不成导电回路,灯泡HL不亮。当按下按钮开关SB时,低电平触发端2脚接地,触发电路翻转,555的3脚输出由低电平变为高电平,继电器KA通过电流,使常开接点闭合,形成导电回路,灯泡HL发亮。SB按下时刻起,电路进入暂稳态,即定时开始,定时时间为2.光控开关电路应用举例如图555构成的光控开关电路,试叙述共原理。应用举例555定时器构成多谐振荡器时,适当调节振荡频率,可构成各种声响电路。图示是555定时器构成的“叮咚”双音门铃电路。试叙述其原理。3.“叮咚”双音门铃应用举例4.防盗报警电路图示是一个防盗报警电路。a、b两端被一细铜丝接通,此铜丝置于盗窃者必经之处。当窃贼闯入室内将铜丝碰断后,扬声器即发出报警声。(1)试问555接成了哪种电路?说明本报警电路的工作原理。(2)估算报警声的频率。(3)用面包板或实验箱连接电路,检查无误通电检验防盗报警电路成功了吗?(4)改变报警声调要换哪些元件?试试看。

计数器是用来累计时钟脉冲(CP脉冲)个数的时序逻辑部件。它是数字系统中用途最广泛的基本部件之一,几乎在各种数字系统中都有计数器。它不仅可以计数,还可以对CP脉冲分频,以及构成时间分配器或时序发生器,对数字系统进行定时、程序控制操作。此外,还能用它执行数字运算。

9.3计数器概述按CP脉冲输入方式分类同步计数器所有触发器的时钟控制端相同所有触发器同步触发动作异步计数器触发器的时钟控制端输入不同所有触发器不是同步触发动作概述计数器分类按计数器增减趋势分类在CP脉冲下累加计数在CP脉冲下累减计数由控制端决定按加法或减法计数加法计数器减法计数器可逆计数器概述计数器分类按数制分类二进制计数器非二进制计数器概述计数器分类按二进制规律计数,计满例:四位二进制计数器,计数范围从0000~1111按二进制规律计数,任意进制例:BCD十进制计数器,计数范围从0000~1001回章节目录9.3.1同步计数器

一、同步时序电路分析方法

(1)写各触发器的激励方程——驱动方程。(2)写状态方程。将激励方程代入相应触发器的特征方程即得到各触发器的次态方程(又称为状态方程)。

(3)计算并列状态转换表。(4)作状态转移图。根据状态真值表,作出状态转移图。因为状态转移图直观,很容易分析其功能。

(5)功能描述。用文字概括电路的逻辑功能。[例1]图所示电路,由两个JK触发器、一个异或门和一个与门组成,是同步时序逻辑电路。对其分析如下:(1)写时钟方程、驱动方程时钟方程:CP0=CP1=CP↓驱动方程:J0=K0=1J1=K1=当X=0时,J1=K1=当X=1时,J1=K1=(2)写状态方程

当X=0时,

(3)进行状态计算,列出状态转换结果如表所示当X=0时当X=1时⊙CP序列X=0X=1ZZ00000001010111210O10031110104000000Q0Q0Q1Q1(4)逻辑功能分析当X=0时进行加法计数,Z是进位信号。当X=1时,电路进行减1计数,Z是借位信号。所以说电路是一个可控计数器。[例2]分析下图电路的功能。答案:三进制加法计数器回章节目录二、异步时序电路分析

异步时序电路的分析与同步时序电路分析方法基本相同,只是所需触发器脉冲不同,用波形分析更清晰。

[例3]异步时序电路如图所示,试分析其电路。

画时序波形图和状态图分析可知:每来一个脉冲,电路的状态加1,所以它是一个异步3位二进制加法计数器。一、

集成计数器74LS161并行输入状态输出使能端同步置数端异步清零端进位输出端9.3.3集成计数器

引脚排列图异步清0(优先)同步并行置数CP上升沿计数

T*P=0一、集成计数器74LS161输

入输

出功能说明CP

EPETD3D2D1D0Q3Q2Q1Q0×0×××××××0000异步清零

↑10××D3D2D1D0D3D2D1D0并行置数×110×××××Q3Q2Q1Q0保持×11×0××××Q3Q2Q1Q0保持

↑1111××××计数功能表

若输入计数器的CP脉冲频率为f,则从Q0端输出脉冲频率为f/2,称Q0端是计数脉冲CP的2分频信号,Q1端是计数脉冲CP的4分频信号,Q3端是计数脉冲CP的16分频信号。N进制计数器可实现n分频。

一、集成计数器74LS161应用预置数法构成的十进制计数器预置数端复位和异步清零复位构成任意进制计数器异步清零复位构成的十进制计数器一、

集成计数器——74LS161课堂练习:分析图示电路构成几进制计数。1111计数:0000~1100计数:0000~1011二、

集成计数器——74LS19274LS192引脚排列图二、集成计数器74LS192输

入输

出功能说明CR

CPUCPDD3D2D1D0Q3Q2Q1Q01×××××××0000异步清零00××D3D2D1D0D3D2D1D0并行置数01↑1××××

加法计数011↑××××

减法计数0111××××Q3Q2Q1Q0保持功能表计数器作加法计数时,在CPU端第9个输入脉冲上升沿作用后,计数状态为1001,当其下降沿到来时,进位输出端产生一个负的进位脉冲,第10个脉冲上升沿作用后,计数器复位;计数器作十进制减法计数时,设初始状态为1001。在CPD端第9个输入脉冲上升沿作用后,计数状态为0000,当其下降沿到来后,借位输出端产生一个负的借位脉冲。第10个脉冲上升沿作用后,计数状态恢复为1001。

74LS192是一个同步十进制可逆计数器。功能描述[例]如图是74LS192用预置数法接成的五进制减法计数电路。应用举例寄存器是用来暂时存放二进制代码、指令、运算数据或结果的逻辑部件。寄存器按功能可分为数码寄存器和移位寄存器。

9.4寄存器回章节目录9.4.1数码寄存器

数码寄存器是存放二进制数码的电路。由于触发器具有记忆功能,因而它是数码寄存器电路的基本单元电路。D触发器是最简单的数码寄存器。在CP脉冲作用下,它能够寄存一位二进制代码。当D=0时,在CP脉冲作用下,将0寄存到D触发器中;当D=1时,在CP脉冲作用下,将1寄存到D触发器中。

74LS175是一个四位数码寄存器。一、74LS175的引脚排列图四D触发器时钟脉冲输入端清零输入端二、74LS175的功能表输入输出功能RCPD1D2D3D4Q1n+1Q2n+1Q3n+1Q4n+10×××××0000清零10××××Q1nQ2nQ3nQ4n保持1↓↑d1d2d3d4d1d2d3d4跟随三、74LS175应用举例如图是74LS175构成的四人抢答器,试分析电路的工作原理。回章节目录

9.4.1数码寄存器

移位寄存器具有数码寄存和移位两个功能。若在时钟脉冲的作用下,寄存器中的数码向右移位,则称右移;如依次向左移动,称为左移。移位寄存器具有单向移位功能的称为单向移位寄存器;既可右移又可左移的称为双向移位寄存器。74LS194是集成四位双向移位寄存器。一、单向四位移位寄存器四位右移位寄存器图所示电路是用D触发器组成的四位右移位寄存器。其中FF3是最高位触发器,FF0是最低位触发器。每个高位触发器的输出端Q与低一位的触发器的输入端D相接。整个电路只有最高位触发器FF3的输入端接收数据。

每当移位脉冲上升沿到来时,输入数据便一个接一个地依次移入FF3中,同时其余触发器的状态也依次移给低一位触发器,这种输入方式称为串行输入。假设要存入的数码为D3D2D1D0=1101,根据数码右移的特点,首先输入最低位D0,然后由低位到高位,依次

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论