MOOC 数字电路分析与设计实验-浙江大学 中国大学慕课答案_第1页
MOOC 数字电路分析与设计实验-浙江大学 中国大学慕课答案_第2页
MOOC 数字电路分析与设计实验-浙江大学 中国大学慕课答案_第3页
MOOC 数字电路分析与设计实验-浙江大学 中国大学慕课答案_第4页
MOOC 数字电路分析与设计实验-浙江大学 中国大学慕课答案_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

MOOC数字电路分析与设计实验-浙江大学中国大学慕课答案第一讲单元测验1、问题:用带宽100MHZ的示波器,将垂直偏转因素置于100Mv/div,测量输出为1V峰峰的100MHZ稳幅正玄信号源的波形,其荧光屏上的垂直高度应显示为(????)V;如果信号源的波形输出幅度为0.1V有效值,则示波器屏幕垂直高度显示(???)div。(注:示波器与信号源均为匹配状态)某带宽为100MHz的示波器,垂直通道灵敏度为100mv/div,当测量1V峰峰值的100MHz标准正弦波时,示波器上的垂直高度应显示为?选项:A、1.4VB、1VC、0.7VD、0.5V正确答案:【0.7V】2、问题:示波器使用时,如果被观察信号为含有直流分量的正弦波,应当选择什么耦合方式?选项:A、直流B、交流C、接地D、直流耦合或者交流耦合都可以正确答案:【直流】3、问题:TTL系列数字集成电路的供电电源可选择选项:A、+5VB、+-5VC、+12VD、+-12V正确答案:【+5V】4、问题:波形的上升时间定义为选项:A、从0到稳态值的70.7%所需的时间B、从稳态值的10%上升到稳态值90%所需的时间C、从0到稳态值所需的时间D、从0到稳态值的70.7%所需的时间E、从0上升到稳态值90%所需的时间正确答案:【从稳态值的10%上升到稳态值90%所需的时间】5、问题:双通道示波器在同时观察两路信号时,下列说法正确的是:选项:A、双通道示波器观察的是电位差,两个探头的接地端都必须可靠连接。B、由于两个探头的接地端都和示波器内部的参考电位相连,故无需再和测量信号的参考电位连接。C、由于两个探头的接地端都和示波器内部的参考电位相连,故只需将其中一个探头的参考端与被测信号的参考电位相连接即可。D、示波器的两个测量通道是彼此独立的,因此可以测量任意两路电压信号。正确答案:【由于两个探头的接地端都和示波器内部的参考电位相连,故只需将其中一个探头的参考端与被测信号的参考电位相连接即可。】6、问题:集成电路型号“SN74LS00N”与“DM74LS00N”的区别在于选项:A、生产厂家不同B、功能不同C、封装形式不同D、TTL与CMOS的区别正确答案:【生产厂家不同】7、问题:若“非”门由74LS00与非门改接而成,则多余输入端接法错误的是选项:A、与输入端并联B、接电源C、接地D、悬空正确答案:【接地】第二讲单元作业第二讲单元测验1、问题:用Quartus软件进行设计时,新建项目的后缀名是选项:A、.vwfB、.bdfC、.qpfD、.vhd正确答案:【.qpf】2、问题:用Quartus软件进行设计时,波形分析文件的后缀名是选项:A、.schB、.qpfC、.bdfD、.vwf正确答案:【.vwf】3、问题:用Quartus软件进行设计时,新建原理图文件的后缀名是选项:A、.vhdB、.qpfC、.bdfD、.sch正确答案:【.bdf】4、问题:用Quartus软件进行设计时,新建VHDL文件的后缀名是选项:A、.vhdB、.qpfC、.bdfD、.vwf正确答案:【.vhd】5、问题:关于VHDL标识符的表述正确的是选项:A、vhdB、1qpfC、b-dfD、v_wf正确答案:【v_wf】第三讲单元作业第三讲单元测验1、问题:测试全加器电路功能时,输入端信号应使用实验箱上的选项:A、数据开关B、逻辑开关C、发光二极管D、三态逻辑指示正确答案:【数据开关】2、问题:当电路调试出现故障时,常使用()逐级查找故障点选项:A、三态逻辑指示B、逻辑开关C、数据开关D、1Hz基准频率正确答案:【三态逻辑指示】3、问题:用Quartus软件进行设计时,可下载到FPGA器件的后缀名是选项:A、.sofB、.qpfC、.bdfD、.vwf正确答案:【.sof】4、问题:已知下述语句在完整的程序中,语法上正确的是选项:A、LIBRARYieee;B、USEieee.std-logic-1164.ALL;C、USEieee.std_logic_1164.ALL,D、result=0a+b+c;正确答案:【LIBRARYieee;】5、问题:用Quartus软件进行设计时,用原理图文件设计下述描述正确的是选项:A、原理图文件名可与原理图内器件名相同B、一位二进制的端口用BUS连接C、端口名字不可以与器件是根据名字相同D、原理图修改保存后必须重新编译正确答案:【原理图修改保存后必须重新编译】6、问题:对于DE10-Lite开发板上FPGA芯片的描述正确的是选项:A、对于该芯片在Quartus(QuartusPrime17.1)LiteEdition软件平台上可以实现时序仿真B、芯片的型号为10M50DAF484CIGC、芯片的型号为10M08DAF484CIGD、Unusedpins最好选择Asinputtri_stated正确答案:【Unusedpins最好选择Asinputtri_stated】7、问题:对于完整的一位全加器VHDL程序,描述正确的是选项:A、如果port内的管脚定义为STD_LOGIC,则库和程序包可以省略B、ENTITYadderISPORT(A,B,Ci_1:INSTD_LOGIC;Ci,Si:OUTSTD_LOGIC;);ENDadder;C、ARCHITECTURE1fxcOFadderISBEGINSi=(notAandnotBandCi_1)or(notAandBandnotCi_1)or(AandnotBandnotCi_1)or(AandBandCi_1);Ci=(AandB)or(BandCi_1)or(Ci_1andA);END1fxc;D、实体名和结构体名都必须是标识符正确答案:【实体名和结构体名都必须是标识符】8、问题:在74LS55中,当某组“与”内有部分管脚多余时,应如何处理选项:A、接高电平B、接地C、悬空D、与有用端子并联使用E、接电源正确答案:【接高电平#与有用端子并联使用#接电源】第四讲单元作业第四讲单元测验1、问题:用Quartus软件进行设计4位串行进位二进制全加器时,下述描述正确的是选项:A、底层的一位全加器必须用VHDL描述B、I/OOnBlock的端口命名和signalsinNode命名不以相同C、若“a:INSTD_LOGIC_VECTOR(3Downto0);”,在转换为symbol时,必须用OrthogonalBusTool连接D、4位串行进位二进制全加器只能用二进制输出来仿真显示正确答案:【若“a:INSTD_LOGIC_VECTOR(3Downto0);”,在转换为symbol时,必须用OrthogonalBusTool连接】2、问题:已知下述语句在完整的程序中,语法上正确的是选项:A、withtmpSelectC='0'when'11','1'whenothers;B、c='0'WHENA='1'ANDB='1'ELSE'1';C、IFA='1'ANDB='1'THENC='0',ELSEC='1';ENDIF;D、上述语句都必须在Process内正确答案:【c='0'WHENA='1'ANDB='1'ELSE'1';】3、问题:对于DE10-Lite开发板上数码管的描述正确的是选项:A、为共阴数码管B、6个数码管为动态显示C、为了是数码管显示0,必须WHEN0000=S=0000001;定义D、上述都不准确正确答案:【上述都不准确】4、问题:同学设计的VHDL部分源码如下,为了实现8位数奇偶判断电路,试下述功能描述正确的是:Architectureaofxor8aaisSignalz1,z2:std_logic;Componentxor4cPort(a:INSTD_LOGIC_VECTOR(3Downto0);z:outstd_logic);endcomponent;Begin选项:A、U1:xor4cPortmap(a3=a,a2=b,a1=c,a0=d,z=z1);U2:xor4cPortmap(a3=e,a2=f,a1=g,a0=h,z=z2);z=z1xorz2;B、U1:xor4cPortmap(a(3)=a,a(2)=b,a(1)=c,a(0)=d,z=z1);U2:xor4cPortmap(a(3)=e,a(2)=f,a(1)=g,a(0)=h,z=z2);z=z1xorz2;C、U1:xor4cPortmap(a,b,c,d,z1);U2:xor4cPortmap(e,f,g,h,z2);z=z1xorz2;D、上述都不准确正确答案:【U1:xor4cPortmap(a,b,c,d,z1);U2:xor4cPortmap(e,f,g,h,z2);z=z1xorz2;】第五讲单元作业第五讲单元测验1、问题:某同学设计的VHDL部分源码如下,试问下述描述正确的是PROCESS(clk,clr,tmp)BEGINIFclr='1'THENtmp=0000;ELSIF(clk'EVENTANDclk='1')THENIFena='1'THENIF(tmp=1000)THENtmp=0000;ELSEtmp=tmp+'1';ENDIF;ENDIF;ENDIF;q=tmp;ENDPROCESS;选项:A、tmp需定义为signaltmp:STD_LOGIC_VECTOR(3downto0);B、实现10进制计数C、clr同步清零D、ena异步使能正确答案:【tmp需定义为signaltmp:STD_LOGIC_VECTOR(3downto0);】2、问题:某同学设计的VHDL部分源码如下,试问下述描述正确的是【b】P1:process(clk_in)variablecnt:naturalrange0to19;beginifrising_edge(clk_in)thenifcnt=div_numthencnt:=0;elsifcnt=div_num/2thenclk_out='0';cnt:=cnt+1;elseclk_out='1';cnt:=cnt+1;endif;endif;endprocessP1;选项:A、以上都不准确B、实现10分频功能C、div_num在port内定义为inD、rising_edge(clk_in)表示下边沿正确答案:【div_num在port内定义为in】3、问题:下述描述不正确的是选项:A、常量是全局量,是在设计描述中保持某一规定类型的特定值不变的量B、信号用“=”赋值,而变量用“:=”赋值。C、变量是一个实际信号D、信号是一种全局量,它主要用于实体、结构体或程序包之间的信息交流正确答案:【变量是一个实际信号】4、问题:针对该程序下述描述正确的是PROCESS(clk,rst)BEGINIF(rst='0')THENq=0000;ELSIF(clk'eventANDclk='1')THENq=q-1;ENDIF;ENDPROCESS;选项:A、上述都不准确B、clk'eventANDclk='1'表示下降沿C、clk上升沿计数加1D、rst为0时实现异步清零正确答案:【rst为0时实现异步清零】第六讲单元作业第六讲单元测验1、问题:针对该程序段下述描述正确的是ARCHITECTUREBehavioralOFD_VHDLISsignalTMP:STD_LOGIC;BEGINPROCESS(clr,ena,clk,d_in)BEGINIFclr='0'THENTMP='0';ELSIFena='0'THENTMP='1';ELSIF(clk'EVENTANDclk='1')THENTMP=d_in;ENDIF;ENDPROCESS;q=TMP;nq=notTMP;ENDBehavioral;选项:A、上述都不对B、同步清零异步使能C、异步清零同步使能D、用进程描述具有清零功能、上升沿触发的D触发器正确答案:【用进程描述具有清零功能、上升沿触发的D触发器】2、问题:下列哪条语句必须在process内部选项:A、q=c+d;B、CASEdinISWHEN00=dout=00;WHEN01=dout=01;WHEN10=dout=01;WHEN11=dout=10;ENDCASE;C、WITHsSELECTy=a0WHEN“00”,alWHEN“01”,a2WHEN“10”,a3WHENOTHERS;D、y=a0WHENs=“00”ELSEa1WHENs=“01”ELSEa2WHENs=“10”ELSEa3;正确答案:【CASEdinISWHEN00=dout=00;WHEN01=dout=01;WHEN10=dout=01;WHEN11=dout=10;ENDCASE;】3、问题:针对该程序段下述描述正确的是architectureBehavioralofclk1hzisconstantm:integer:=3;signaltmp:std_logic;beginprocess(clk,tmp)variablecout:integer:=0;beginifrising_edge(clk)thencout:=cout+1;ifcout=mthentmp='0';elsifcoutm*2thentmp='1';elsecout:=0;endif;endif;endprocess;clock1hz=tmp;endBehavioral;选项:A、变量值可以传递给信号,而信号值不能传递给变量B、该程序段实现3分频功能C、variablecout:integer:=0;可以在任何地方定义D、constantm:integer:=3只能在结构体中定义正确答案:【变量值可以传递给信号,而信号值不能传递给变量】4、问题:74107JK型触发器的触发方式是选项:A、高电平触发B、低电平触发C、上升沿触发D、下降沿触发正确答案:【下降沿触发】5、问题:7474D型触发器的清零方式是选项:A、高电平清零B、低电平清零C、上升沿清零D、下降沿清零正确答案:【低电平清零】6、问题:74LS107在实验中未用到K端和选项:端时A、K端和端都应该悬空B、K端最好接电源,C、K端可以悬空,端可以悬空端最好接电源D、K端和端都不能悬空正确答案:【K端可以悬空,端最好接电源】7、问题:图中所示的波形,是电路图()的工作波形选项:A、图中B、图中C、图中D、图中正确答案:【图中】8、问题:图中所示的波形,是电路图()的工作波形选项:A、图中图中图中图中B、C、D、正确答案:【图中】第七讲单元测验1、问题:三相脉冲实验中当出现000和111状态时,为了将效状态,则下列哪个描述是正确的选项:置成100有A、B、,,C、,D、,正确答案:【,】2、问题:设计一个6进制计数器,需要几片74LS74?选项:A、1B、2C、3D、4正确答案:【2】3、问题:设计一个12进制计数器,需要几片74LS107?选项:A、1B、2C、3D、4正确答案:【2】4、问题:三相脉冲实验中,每相的导通角是选项:A、B、C、D、正确答案:【】第八讲单元作业第八讲单元测验1、问题:用74LS161连接10进制计数器时,多余输入端选项:A、只能接电源或高电平B、只能接地C、可以悬空D、以上都不对正确答案:【可以悬空】2、问题:74161计数器的清零方式是选项:A、同步B、异步C、可自定义为同步或异步D、以上都不对正确答案:【异步】3、问题:74161计数器的置数方式是选项:A、同步B、异步C、可自定义为同步或异步D、以上都不对正确答案:【同步】4、问题:74161计数器的控制(CTT、CTP)端的描述正确的是选项:A、同步控制B、异步控制C、低电平时计数保持D、以上都不对正确答案:【低电平时计数保持】第九讲单元作业选择题1、问题:示波器使用时,为了观察直流电压上的纹波,应当选择什么耦合方式?选项:A、ACB、DCC、AC或者DCD、接地正确答案:【AC】2、问题:若“非”门由74LS00与非门改接而成,则多余输入端接法错误的是选项:A、与输入端并联B、接电源C、接地D、悬空正确答案:【接地】3、问题:用Quartus软件进行设计时,新建VHDL文件的后缀名是选项:A、.vhdB、.qpfC、.bdfD、.vwf正确答案:【.vhd】4、问题:关于VHDL标识符的表述正确的是选项:A、abc_B、a_b_cC、2_pD、c.p正确答案:【a_b_c】5、问题:在74LS55中,当某组“与”不用时,多余管脚应如何处理选项:A、接高电平B、接地C、悬空D、与有用端子并联正确答案:【接高电平】6、问题:测试全加器电路功能时,输出端应接至实验箱上的选项:A、数据开关B、逻辑开关C、发光二极管D、1Hz基准频率正确答案:【发光二极管】7、问题:对于DE10-Lite开发板上FPGA芯片的描述正确的是选项:A、对于该芯片在Quartus(QuartusPrime17.1)LiteEdition软件平台上可以实现时序仿真B、芯片的型号为10M50DAF484CIGC、芯片的型号为10M08DAF484CIGD、Unusedpins最好选择Asinputtri_stated正确答案:【Unusedpins最好选择Asinputtri_stated】8、问题:下述描述正确的是选项:A、a:=b+c;说明是变量赋值可以在process外B、a=b+c;说明是信号赋值只能在process外C、有时钟信号clk,则clk’eventANDclk=’1’表示时钟的上升沿D、上述都不准确正确答案:【有时钟信号clk,则clk’eventANDclk=’1’表示时钟的上升沿】9、问题:若K=0时Z=A,K=1时Z=B,下列哪个语法描述有误选项:A、processbeginifK='0'thenZ=A;elseZ=B;endif;endprocess;B、WithKselectZ=Awhen'0',Bwhen'1','X'whenothers;C、Z=AwhenK='0'elseBwhenK='1';D、Z=(notKandA)or(KandB);正确答案:【processbeginifK='0'thenZ=A;elseZ=B;endif;endprocess;】10、问题:74107JK型触发器的清零方式是选项:A、高电平清零B、低电平清零C、上升沿清零D、下降沿清零正确答案:【低电平清零】11、问题:7474D型触发器的置数方式是选项:A、高电平置数B、低电平置数C、上升沿置数D、下降沿置数正确答案:【低电平置数】12、问题:7474D型触发器的触发方式是选项:A、高电平触发B、低电平触发C、上升沿触发D、下降沿触发正确答案:【上升沿触发】13、问题:下述描述正确的是选项:A、falling_edge(clock1hz)表示上升沿B、rising_edge(clock1hz)表示上升沿C、CLK'eventandCLK='0'表示上升沿D、CLK'eventandCLK='1'表示下降沿正确答案:【rising_edge(clock1hz)表示上升沿】14、问题:某同学设计的VHDL部分源码如下,试问下述描述正确的是-----------------------

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论