电子设计自动化智慧树知到课后章节答案2023年下滨州学院_第1页
电子设计自动化智慧树知到课后章节答案2023年下滨州学院_第2页
电子设计自动化智慧树知到课后章节答案2023年下滨州学院_第3页
电子设计自动化智慧树知到课后章节答案2023年下滨州学院_第4页
电子设计自动化智慧树知到课后章节答案2023年下滨州学院_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子设计自动化智慧树知到课后章节答案2023年下滨州学院滨州学院

第一章测试

请指出AlteraCyclone系列中的EP1C6Q240C8这个器件是属于()

A:ROMB:CPLDC:GALD:FPGA

答案:FPGA

下列对CPLD结构与工作原理的描述中,正确的()

A:CPLD是基于查找表结构的可编程逻辑器件

B:早期的CPLD是从FPGA的结构扩展而来

C:CPLD即是现场可编程逻辑器件的英文简称

D:在Xilinx公司生产的器件中,XC9500系列属CPLD结构

答案:在Xilinx公司生产的器件中,XC9500系列属CPLD结构

下面哪个是FPGA的可编程结构()

A:或阵列可编程B:查找表(LUT)C:与阵列可编程D:与或阵列可编程

答案:查找表(LUT)

IP核在EDA技术和开发中具有十分重要的地位,IP是指()

A:互联网协议B:都不是C:网络地址D:知识产权

答案:知识产权

下列哪个是硬件描述语言的英文缩写()

A:ASICB:EDAC:HDLD:ISP

答案:HDL

第二章测试

VHDL语言中用于存放用户设计和定义的一些设计单元和程序包的工作库是()

A:WORK库B:STD库C:IEEE库D:VITAL库

答案:WORK库

在VHDL标识符命名规则中,以()开头的标识符是正确的。

A:下划线B:数字C:字母或数字D:字母

答案:字母

不符合VHDL标准的标识符是()

A:a2b2B:ad12C:%50D:a_b1

答案:%50

对于信号和变量,哪一个是不正确的()

A:信号的赋值符号是<=B:变量的赋值符号是<=C:变量的关键词是VARIABLED:信号的关键词是SIGNAL

答案:变量的赋值符号是<=

VHDL程序中,实体描述()

A:器件外部特性B:器件的综合约束C:器件外部特性与内部功能D:器件的内部功能

答案:器件外部特性

第三章测试

必须在库和程序包中提前声明才能使用的数据类型是()

A:INTEGERB:STD_LOGICC:BIT_VECTORD:BIT

答案:STD_LOGIC

关于VHDL数据类型,正确的是()

A:用户可以定义任何类型的数据B:前面三个答案都是错误的C:用户可以定义子类型D:用户不能定义子类型

答案:用户可以定义子类型

使用STD_LOGIG_1164中的数据类型时()

A:必须在实体中声明B:必须在库和包集合中声明C:可以直接调用D:必须在结构体中声明

答案:必须在库和包集合中声明

STD_LOGIC数据类型中定义的强未知字符是()

A:XB:xC:ZD:z

答案:X

VHDL数据类型转换函数用于实现VHDL中各种数据类型互相转换。()

A:错B:对

答案:对

第四章测试

CASE语句最末一个条件句中的选择必须是“WHENOTHERS=>"NULL"。()

A:错B:对

答案:错

完整的条件语句,可以实现()的设计。

A:时序电路B:组合电路C:三态控制电路D:双向控制电路

答案:组合电路

在VHDL中,IF语句是()语句。

A:任何B:顺序C:顺序和并行D:并行

答案:顺序

下列哪个不是赋值语句的构成()

A:赋值符号B:元件C:赋值目标D:赋值源

答案:元件

在VHDL中,用以下哪个语句表示clock的上升沿()

A:clock’EVENTANDclock=‘1’B:clock’EVENTC:clock’EVENTANDclock=‘0’D:clock=‘0’

答案:clock’EVENTANDclock=‘1’

第五章测试

在QuartusⅡ中,工作文件夹不允许建在根目录内或桌面上。()

A:对B:错

答案:对

利用QuartusⅡ只能进行时序仿真。()

A:对B:错

答案:错

QuartusII是()

A:EDA工具软件B:硬件描述语言C:高级语言D:综合软件

答案:EDA工具软件

基于EDA的FPGA设计流程,下面哪个是正确的()。

A:设计输入→功能仿真→适配→编程下载→综合→硬件测试B:设计输入→功能仿真→综合→编程下载→适配→硬件测试C:设计输入→功能仿真→综合→适配→编程下载→硬件测试D:设计输入→适配→综合→功能仿真→编程下载→硬件测试

答案:设计输入→功能仿真→综合→适配→编程下载→硬件测试

VHDL文本编辑中编译时出现如下的报错信息

Error:expectedchoicesincasestatement其错误原因是()。

A:没有将CASE语句中表达式的所有取值都列举出来B:错将设计文件的后缀写成.tdf,而非.vhd。C:设计文件的文件名与实体名不一致。D:程序中缺少关键词。

答案:没有将CASE语句中表达式的所有取值都列举出来

第六章测试

下列哪一个语句不属于并行语句?()

A:进程语句B:元件例化语句C:生成语句D:CASE语句

答案:CASE语句

下列哪些语句属于并行信号赋值语句()

A:选择信号赋值语句B:简单信号赋值语句C:条件信号赋值语句D:元件例化语句

答案:选择信号赋值语句;简单信号赋值语句;条件信号赋值语句

VHDL运算符优先级的说法不正确的是()。

A:NOT的优先级最高B:AND和NOT属于同一个优先级C:除了NOT之外的其他六种逻辑操作符优先级最低D:加括号可以改变运算优先级

答案:AND和NOT属于同一个优先级

下列哪个操作符是将位矢向左移,右边跟进的位补零?()

A:SRLB:SRAC:SLAD:SLL

答案:SLL

VHDL语言的运算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先级是相同的。()

A:错B:对

答案:错

第七章测试

关于进程中的信号赋值语句,说法不正确的是()

A:所有的赋值语句都必须在一个延时内完成B:信号的赋值需要有一个延时C:当进程中同一信号有多个赋值源时,执行最前面的语句。D:当进程中同一信号有多个赋值源时,执行最接近ENDPROCESS的语句

答案:当进程中同一信号有多个赋值源时,执行最前面的语句。

VHDL中用于仿真建模的延时模型有()

A:输出延时B:仿真延时C:固有延时D:传输延时

答案:固有延时;传输延时

用INOUT端口模式设计双向端口也必须考虑三态的使用。()

A:错B:对

答案:对

信号和变量在结构体中的定义位置一样。()

A:对B:错

答案:错

仿真δ,是仿真软件的最小分辨时间。()

A:错B:对

答案:对

第八章测试

状态机编码方式中,占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态的编码方式是()

A:顺序编码B:格雷码编码C:状态位直接输出型编码D:一位热码编码

答案:一位热码编码

从状态表达方式上分,用VHDL设计的状态机可以分为哪几种不同形式()

A:确定状态编码的状态机B:Mealy型C:Moore型D:符号化状态机

答案:确定状态编码的状态机;符号化状态机

与Mealy型状态机相比,Moore型状态机的输出变化要延迟一个周期。()

A:错B:对

答案:对

顺序编码使用的触发器数量最少,但剩余的非法状态较多,容错技术较复杂。()

A:对B:错

答案:错

VHDL主控时序进程是指负责状态机运转和在时钟驱动下负责状态转换的进程。()

A:对B:错

答案:对

第九章测试

VHDL子程序的两种类型是()

A:过程B:程序包C:函数D:进程

答案:过程;函数

VHDL子程序中,过程和函数的调用方式一样。(

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论