数字时钟课程设计报告-3_第1页
数字时钟课程设计报告-3_第2页
数字时钟课程设计报告-3_第3页
数字时钟课程设计报告-3_第4页
数字时钟课程设计报告-3_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

浙江海洋学院《数字时钟》课程设计报告学院(部)专业班级学生姓名学号指导教师(签字)

目录一摘要 1二关键词 1三技术要求 1四系统综述 2五单元电路设计 35.1、译码显示电路 35.2、60进制计数器 45.3、24进制计数器 45.4、校时电路 55.5整点报时电路 65.6、总电路 7六结束语 8七.参考书 8

一摘要数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比,它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表、电子闹钟,大到车站﹑码头﹑机场等公共场所的大型数字显电子钟。本课程设计是要通过简单的逻辑芯片实现数字电子钟。,用74HC160(10进制计数器)、74HC200(与非门芯片)等连接成60和24进制的计数器,再通过数码管显示,构成简单数字时钟。二关键词数字时钟、校时、整点报时三技术要求1、能显示24小时制的时、分、秒(23小时59分59秒);2、具有校时功能,可以分别对时或分进行单独校时,使其校正到标准时间;。3能进行整点报时。四系统综述数字时钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和报时电路组成。其主要功能为计时、校时、报时。利用60进制和24进制递增计数器子电路构成数字时钟系统,由2个60进制同步递增计数器完成秒、分计数,由1个24进制同步递增计数器完成小时计数。秒、分、时计数器分别都以两个74HC160同步级联而成,秒、分、时计数器之间采用异步级联的方式。显示器显示器显示器译码器译码器译码器24进制60进制60进制时计数器分计数器秒计数器报时电路校时电路校分电路脉冲图1.设计框架五单元电路设计5.1译码显示电路。由译码器74HC4511和显示器7SEG-MPX1-CA构成,译码器将计数器传送过来的信号翻译成相应的数字传送给显示数码管。电路图如下:5.2、60进制计数器分和秒的计数器为60进制计数器,该计数器有两片74HC160十进制计数器U1、U2和一片74HC20与非门组成。将一片74HC162设计成10进制加法计数器,另一片设置6进制加法计数器。两片74HC160按反馈置数法串接而成。秒计数器的十位和个位,输出脉冲除用作自身置数外,同时还作为分计数器的输入脉冲。下图电路即可作为秒计数器,也可作为分计数,电路图如下:

5.3、24进制计数器

由两片74HC160构成的24进制计数器,将一片74HC160设计成4进制加法计数器,另一片设置2进制加法计数器。既个位计数状态为Qd

Qc

Qb

Qa

=

0100十位计数状态为Qd

Qc

Qb

Qa

=

0010时,要求计数器归零。通过把个位Qc、十位Qb相与后的信号送到个位、十位计数器的置数端,使计数器清零,从而构成24进制计数器。电路图如下:

5.4、校时电路当按下SA键时,对时校时;当按下SB时,对分校时,并且不对时产生影响。5.5整点报时电路。设四声低音(500HZ)分别在59分51、53、55、57秒时响。最后一声高音在59分59秒响,它们的持续时间为1秒。可知低音高音可由秒低位q3控制,组合逻辑电路如下图。5.6总电路。六、结束语这次课程设计是对这学期数字逻辑课程所学内容的一次综合练习,从中不仅强化了我对教材中知识的理解和掌握。而且也拓展了我在数字电子技术方面的知识,和对自己所学专业的认识。课程设计更是一个把所学知识应用于实践的过程,它对我动手能力的提高不言而喻。同时我从这次课设中知道:知识不仅仅是写在书本上的文字和死板的理论,它更是指导我们实践的工具。一些比较简单的逻辑器件,经过一定的理论知识分析,将它们组合在一起就构成了我们生活中普遍应用,几乎必不可少的电子时钟。完成课程设计的任务以后,看到自己的成果感到很有成就感,从而加强了自己对本课程的兴趣,更加有利于对本课程方面知识的进一步拓展性学习。七参考书[1]杨素行.模拟电子技术基础简明教程[M].北京:高等教育出版社.2006年

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论