实验七 触发器的仿真_第1页
实验七 触发器的仿真_第2页
实验七 触发器的仿真_第3页
实验七 触发器的仿真_第4页
实验七 触发器的仿真_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验七触发器的仿真实验目的用逻辑图和VHDL语言设计D锁存器,并进行仿真与分析;参看Maxplus中器件7474(边沿D触发器)的逻辑功能,用VHDL语言设计边沿触发式D触发器,并进行仿真与分析。参看Maxplus中器件7476(边沿JK触发器)的逻辑功能,用VHDL语言设计边沿触发式JK触发器,并进行仿真与分析。1D锁存器(DLatch)实验设计思想使能端EN输入为1时,输出使能端EN输入为1时,输出Q与输入D值相同;使能端EN输入为0时,输出Q保持不变。实验原理图数据输入端D1idiiY''EN[■vcc使能端实验VHDL源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYex71ISPORT(C,D:INSTD_LOGIC;Q,QN:BUFFERSTD_LOGIC);ENDex71;architecturebhvOFex71ISBEGINPROCESS(C,D,Q)BEGINIF(C='1')THENQ<=D;ELSEQ<=Q;ENDIF;QN<=NOTQ;ENDPROCESS;ENDbhv;实验波形仿真

End:CA英QBBBBSiaiilationTaveforasSinnil:±tionmode:Timing保MasterTimeBar:7.45nsEnd:CA英QBBBBSiaiilationTaveforasSinnil:±tionmode:Timing保MasterTimeBar:7.45nsPointer:Interval:Start:3ps40.0ns80.0he120.0ile1G0.0ns200.0ile240.0ns280.0ns320.0ns360.0ns400.0ns440.0nsiiiiiiiiiii7.4EK45rLSJ69.87ns62.42ns2•边沿式D触发器(Positive-Edge-TriggeredDFlip-FlopswithPreset,ClearandComplementaryOutputs)实验设计思想INPUTSOUTPUTSPRCLRCLKDQQN01XX1010XX0100XX1(失效)1(失效)11T11011T001110X保持Q保持QN实验原理图复位端,低有效PRNIMPUT••:7OUTPUT数据输入端IhffOT■■:时钟端CKLIMP[IT…:复位端,低有效PRNIMPUT••:7OUTPUT数据输入端IhffOT■■:时钟端CKLIMP[IT…:0输出端>QN清零端,低有^...CLRNIMPUT••:实验VHDL源程序libraryieee;useieee.std_logic_1164.all;entityex72isport(D,CLK,PR_L,CLR_L:INstd_logic;Q,QN:outstd_logic);endex72;architecturevhbofex72issignalPR,CLR:STD_LOGIC;BEGINprocess(CLR_L,CLR,PR_L,PR,CLK)beginPR<=notPR_L;CLR<=notCLR_L;if(CLRANDPR)='1'thenQ<='1';QN<='1';elsifCLR='1'thenQ<='0';QN<='1';elsifPR='1'thenQ<='1';QN<='0';elsif(CLK'eventandCLK='1')thenQ<=D;QN<=notD;endif;endprocess;endvhb;实验波形仿真

End:V^ilueEBEL_OA英BPointer:Simulationmode:Timing|恰MasterTimeBar:7.45nsInterval:Start:Dps40.0ns80.0ns120.0ns160.0ns200.0ns240.0ns280.0ns320.0ns360.0ns400.0ns440.0ns480.0nsEnd:V^ilueEBEL_OA英BPointer:Simulationmode:Timing|恰MasterTimeBar:7.45nsInterval:Start:Dps40.0ns80.0ns120.0ns160.0ns200.0ns240.0ns280.0ns320.0ns360.0ns400.0ns440.0ns480.0nsiiiiiiiiiiii7.45rLSA^^^nnnnnmnnmmmmmnmmmmnnnnnmmnnnnnnj7.4EB1.15ns

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论