Xilinx Spartan6 IO 电平读书笔记_第1页
Xilinx Spartan6 IO 电平读书笔记_第2页
Xilinx Spartan6 IO 电平读书笔记_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

XilinxSpartan6IO电平读书笔记FPGA的IO支持多种电平标准,但是其中用几点的概念比较模糊,在此特意记下:最近在用xilinx的spartan6与ARM进行通信,但是FPGA的逻辑电平是3.3V的LVTTL标准,而ARM输出的是1.8V的电平标准,两者IO电平的不匹配,出现了一些问题如下:FPGA在VCCO=3.3V是,是否可以设置FPGA的IO电平标准为1.8V?在翻阅了《Spartan-6FPGASelectIOResources》后,得到了以下信息:Spartan-6的供电来源于3个引脚:VCCINT、VCCO、VCCAUX。VCCINTisthemainpowersupplyfortheinternalFPGAlogic.VCCINTalsopowerssomeoftheavailableinputdrivers.VCCINT主要为FPGA的内部逻辑单元供电,同时也会给一些输入供电。TheVCCOsupplies,oneforeachoftheI/Obanks,powertheoutputdriversandsomeoftheinputdrivers.ThevoltageontheVCCOpinsdeterminesthevoltageswingoftheoutputsignal.Manyofthelow-voltageI/OstandardssupportedbySpartan-6devicesrequireadifferentoutputdrivevoltage(VCCO).Asaresult,eachdeviceoftensupportsmultipleoutputdrivesourcevoltages.OutputbufferswithinagivenVCCObankmustsharethesameoutputdrivesourcevoltage.ThefollowingI/OstandardsinputbuffersalsousetheVCCOvoltagesupply:?LVCMOS25(whenVCCAUX=3.3V)?LVCMOS18_JEDEC?LVCMOS15_JEDEC?LVCMOS12_JEDEC?PCI?MOBILE_DDRSpartan-6FPGAsallowmultipleI/Ostandardstobecombinedinthesamedevice.AlthoughtheoutputsarealwayspoweredbyVCCO,multiplestandardsareavailableunderoneofthefivepossibleVCCOvalues.Inaddition,inputsoftendonotneedtomatchthevoltageappliedtoVCCO.FurtherflexibilityisachievedwithmultipleVCCOlevelsinasingledevice.EachbankofI/OshasindependentVCCOandVREFrails.ThisallowseachbanktobepoweredatVCCOandVREFlevelsindependentofhowtheotherbanksareset.VCCOprovidespowerprimarilytotheI/Ooutputbuffers,andVREFsuppliesareferencevoltageforHSTLandSSTLinputs.TheVCCOpinsarededicatedpowerpinsandmustbepoweredatalltimeswithavoltagerailfromthePCB.However,theVREFpinsaredual-purposepins;theycanbeusedasregularI/OpinsorVREF-supplypins.WhenabankusesVREF-poweredinputs(asanexample,fortheSSTLorHSTLstandards),thedesignmustusetheVREFpinstosupplytheFPGA’sinternalVREFrailwiththereferencevoltage.IftheSSTLorHSTLinputsarenotusedinabank,theVREFpinsinthatbankcanbeusedasregularI/Opins.Table1-5liststheVCCOandVREFrequirements.VCCO在FPGA中,每个Bank都有自己独立的VCCO,每个Bank的VCCO可以供不同的电源,以实现不同的Bank实现不同的IO标准,在同一个Bank中,VCCO只能是一个标准,通过上面的解释中,可以看到,IO的输出都是由VCCO供电的,也就是说每个Bank的IO输出电压决定于VCCO,同时部分标准的输入也

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论