基于gsm模块家庭报警系统的设计_第1页
基于gsm模块家庭报警系统的设计_第2页
基于gsm模块家庭报警系统的设计_第3页
基于gsm模块家庭报警系统的设计_第4页
基于gsm模块家庭报警系统的设计_第5页
已阅读5页,还剩57页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要洛阳理工学院毕业设计PAGEIVPAGE4基于GSM模块的家庭报警系统设计摘要随着超大规模集成电路、通信技术、单片机技术的迅猛发展和人们安保意识的日渐增强,利用单片机及其它外围芯片实现自动报警已成为可能,且是一种发展趋势。本设计主要完成了基于GSM模块的家庭报警系统设计。设计以STC89C52单片机为核心,搭配GSM模块,借助最可靠、最成熟的GSM移动网络,以短消息或电话形式,直接把具体的警情信息反映到用户的手机屏幕上。课题采用了两个传感器检测模块:主动式红外探测器模块,MQ-5可燃气泄露检测模块。主动式红外传感器模块主要由一对红外二极管构成,一个二极管发射红外线,另一个接收,当收发过程被遮挡后,传感器的输出电平变化(此输出为模拟量),经过三极管数字化处理后送入单片机,触发声光报警器报警。燃气传感器模块将空气中可燃气的浓度转换为对应的电压值模拟量,经过模数转换后送入单片机,当可燃气浓度超过警戒值时,触发声光报警器报警。经过努力调试,本设计最终较好地实现了上述功能。关键词:单片机,GSM模块,主动式红外探测器,MQ-5燃气传感器

TheDesignofHomealarmsystemBasedonGSMModemABSTRACTWithverylargescaleintegratedcircuit,communicationtechnology,therapiddevelopmentofmicrocomputertechnology,andpeople'ssecurityawarenessincreasing,usingsinglechipcomputerandotherperipheralchipstorealizeautomaticalarmhasbecomepossible,andisadevelopmenttrend.

ThisdesignmainlycompletedthehomealarmsystembasedonGSMmoduledesign.DesignwithSTC89C52single-chipmicrocomputerasthecore,thecollocationoftheGSMmodule,usingthemostreliable,mostmatureGSMmobilenetwork,intheformofshortmessageorphone,directlyreflectthespecificearly-warninginformationtotheuser'smobilephonescreen.Subjectadoptstwosensorsmodules:activeinfrareddetectormodule,MQ-5combustiblegasleakdetectionmodule.Activeinfraredsensormodulemainlyconsistsofapairofinfrareddiode,alaunchinfrareddiode,theotherreceives,obscuredwhensendingandreceivingprocess,theoutputlevelofsensorchanges,afterdealingwiththevoltagecomparisoncircuitintoasinglechipmicrocomputer,triggerasoundandlightalarm.Gassensormoduleconvertstheconcentrationofthecombustiblegasinairtothecorrespondinganalogvoltagevalue,afteranalog-to-digitalconversionintosinglechipmicrocomputer,whentheconcentrationofcombustiblegasthanalert,triggerasoundandlightalarm.Aftertryingtodebugthedesign,eventuallyrealizethefunctionofthewell.KEYWORDS:STC89C52,GSMModem,Activeinfrareddetectors,Combustiblegasleakdetection前言目录前言 1第1章绪论 21.1选题的背景和意义 21.2国内外研究动态 21.3本文主要研究内容与结构 21.3.1研究的主要内容 21.3.2研究内容的结构 3第2章系统总体方案 52.1设计要求 52.2设计目标 52.3系统框图 6第3章硬件系统设计 73.1单片机最小系统 73.1.1STC89C52单片机 73.1.2单片机最小系统 103.2GSM短信模块 113.2.1SIM900A模块 113.2.2SIM900硬件系统设计 133.3红外传感器模块 143.3.1发射部分 143.3.2接收部分 153.4MQ-5燃气传感器模块 163.4.1MQ-5传感器 163.4.2TLC1543模数转换芯片 183.4.3MQ-5检测模块 203.6声光报警模块 21第4章软件系统设计 234.1主程序流程 234.2中断服务子程序流程 244.2TLC1543程序流程 244.3发短消息子程序流程 25第5章系统调试 275.1系统调试 275.1.1C语言介绍 275.1.2程序调试 285.1.3调试结果 315.2PCB板制作 33结论 35谢辞 36参考文献 37附录 38外文资料翻译 48第1章标题洛阳理工学院毕业设计前言目前传统的机械式(防盗窗、防盗网)安保系统在生活使用中显露了很多安全问题,例如:发生火灾时不易逃生、使其它没有设置防盗窗的邻近楼层陷入被盗的可能等。随着信息技术的高速发展,安防系统已从最初的局部化、简单化逐步向集成化、智能化演变。如何让分机与主机、分机与用户之间进行通讯是各种防盗报警系统之间的主要区别。目前市场上常见的防盗报警系统的通信方式有固定电话拨号、以太网、集群系统等等。但它们有各自的缺点:(1)固定电话拨号容易被盗贼在入室抢劫前切断电话线或恶意占线,使其在关键时刻失灵。(2)以太网同样面临着线路被切断的隐患,且不易普及。(3)集群系统功耗很大,网络架设和维护费用很高,而且需要购买固定的频点。针对以上通信方式的优、缺点,我设计了基于GSM短信模块的家庭无线防盗报警系统。此系统可解决这些隐患,让家庭防盗更及时、使用更方便。它不再依赖有线电话执行报警,而是借助最可靠、最成熟的GSM移动网络,以最直观的短消息或电话形式,直接把报警地点的情况反映到您的手机屏幕上。它采用主动式红外传感器进行检测,变有形的传统防盗网防盗窗为无形,给火灾时的逃生提供方便。并配备燃气泄漏传感器,同时实现防燃气泄漏的作用。本文介绍了用STC89C52单片机实现的基于GSM短信模块的家庭报警系统,以及STC89C52单片机的功能特点、GSM短信模块工作原理、传感器工作原理等。第1章绪论1.1选题的背景和意义随着超大规模集成电路、通信技术、单片机技术的迅猛发展和人们保安意识的日渐增强,利用单片机及其它外围芯片实现自动报警已成为可能,且是一种发展趋势。它不仅有体积小、安装方便、功能较齐全等优点,而且有很高的性价比,因此应用前景广泛。但是现在市场上的家用报警器都有明显的不足之处,所以在现行产品的基础之上研制一种新型的家用防盗报警器,进一步完善报警器的功能,提高它的可靠性,具有实际意义。这对于保障居民的生命财产安全,提高公安、消防、医疗、保安等部门的快速反应能力,有着重要的价值。1.2国内外研究动态目前市面上装备主要有压力触发式防盗报警器、开关电子防盗报警器和压力遮光触发式防盗报警器等各种报警器,但这几种比较常见的报警器都存在一些缺点:(1)压力触发式防盗报警器由于压力板式安装在垫子内,当主机停止工作,主人在家走动时,都很容易失报和误报,其可靠性低。(2)开关式电子防盗报警器一般只有一个定点,有效范围小,而且各种开关也易坏,失报和误报率就高,不可靠。(3)遮光式触发防盗报警器在受到太阳光照射就会引起误报,同时如果由于风吹窗帘的摆动等遮住了光也会引起误报,所以这种报警器的可靠性也不高。再者,就闭路监控电路防盗系统而言,它的安装线路复杂,而且技术要求比较高,价格也比较昂贵,不利于广泛利用。而本设计中所使用的红外线是不可见光,有很强的隐蔽性和保密性,因此在防盗、警戒等安保装置中得到了广泛的应用。1.3本文主要研究内容与结构1.3.1研究的主要内容本课题设计以STC89C52单片机为核心,包含4个子功能模块:GSM模块,主动式红外线检测模块,MQ-5可燃气泄漏检测模块,声光报警模块。主动式红外红外线检测模块主要由一对红外对管组成,一个红外线发射二极管,一个红外线接收二极管。发射管发出一束或多束人眼无法看到的红外光,形成警戒线,有物体通过,光线被遮挡,接收管信号发生变化,然后这一信号变化经过三极管放大电路处理后,被送到单片机,单片机检测到这一信号变化,就会控制声光报警器报警,并向用户发送报警信息。MQ-5可燃气泄漏检测模块所采用的气敏材料是在清洁空气中电导率较低的二氧化锡。当传感器所处环境存在可燃气体时,传感器的电导率随着空气中可燃气体浓度的增加而增大。电导率的变化被转换为与该气体浓度相对应的模拟输出信号,连续变化的模拟信号经过A/D转换转化为离散的数字信号。单片机能够对采集的数字信号进行处理和判断,当检测气体浓度超出设定报警阀值时给出声光报警,并向用户发送报警信息。GSM无线模块采用市场上常见的SIM900A,SIM900A模块是一个支持中文短信息的工业级GSM模块,工作在EGSM900和GSM1800双频段,通过RXD和TXD引脚与单片机硬件连接,利用AT指令进行串行通信。单片机采集到警情后,一方面通过声光报警器报警,另一方面将警情通过GSM模块以电话或者短消息的方式告知用户。声光报警模块采用一个蜂鸣器和一个发光二极管模拟,当有警情发生时,一方面单片机输出低电平,点亮发光二极管,另一方面,单片机驱动蜂鸣器发声,以对窃贼起到警示作用。1.3.2研究内容的结构第一章绪论分别讲解了系统的开发背景,国内外研究的现状,又介绍了本文主要研究内容与结构。第二章系统总体框架,首先对GSM家庭报警系统的设计要求做了阐述,其次根据要求,提出了本课程设计要达到的目标,最后给出了总的设计方案,并阐明了设计系统的工作流程。第三章系统硬件设计,分别对单片机最小系统,GSM模块,主动式红外线检测模块,MQ-5可燃气泄漏检测模块,声光报警模块做了详细的介绍,包括其主要功能芯片的讲解,硬件电路图及工作原理。第四章系统软件介绍,对GSM短信模块,TLC1543模数转换,外部中断及整机的软件流程图的分析。第五章主要描述了系统的实现和调试,并对PCB的制作进行了讲述。第六章中对本文进行了总结,并对将来的系统进行了展望。第3章标题PAGE8PAGE26第2章系统总体方案2.1设计要求对于本课题设计,需要满足以下几则要求:(1)能够对窃贼的的入侵进行检测报警,并具有一定的隐蔽性。(2)能够对用户家中的可燃气泄漏进行检测,当浓度超过危险警戒值时,进行报警。(3)系统能够进行声光报警,并能将警情信息以电话或者短消息的形式发送到用户手机上。(5)用户能够方便地控制系统的开机工作与关机。(6)系统尽量采用性价比高的元器件,并使设计电路尽量简单。2.2设计目标本设计是基于GSM短信模块的家庭报警系统,主要完成以下主要任务:(1)选择STC89C52单片机,了解其基本特性和功能,使用STC89C52实现对各个功能模块的控制。(2)采用SIM900A型号的GSM短信模块,单片机采集到警情后,通过AT指令与GSM模块进行串口通信,向用户以电话或者短消息的形式发送警情信息。(3)使用了USB下载线使单片机和电脑之间程序的方便下载。(4)使用了蜂鸣器和LED指示灯作为声光报警器,当单片机采集到警情后,点亮LED指示灯,并驱动蜂鸣器报警。(5)采用MQ-5可燃气检测传感器,通过TLC1543模数转换芯片将采集到的模拟量转换成十位数字量送入单片机,通过四位数码管显示采集到的数字量,当空气中的可燃气浓度超过设定值时,单片机控制报警。(6)采用红外对管,当接收管接收到红外线时,输出高电平,接收管接收不到红外线时,输出低电平,这个电平是模拟量。然后,将采集电平送入电压比较器LM393的负相输入端,与基准电压进行比较,使其成为数字量的高低电平,以便于单片机的识别,单片机检测到这个电平的变化时,进行报警。(8)设计使用的元器件要追求价格低廉,并且在选择的时候,本着性价比最优化的选择方案,选择器件。(9)本设计自己设计制作电路图,并焊制了实物电路。2.3系统框图系统框图如图2-1所示。当有人入侵时,就会遮挡住红外的收发,红外接收管接收不到发射管发射出来的红外线,就会引起输出电平的变化,单片机检测到这一电平的高低变化就进行声光报警,并通过GSM模块将警情信息发送到用户手机上。可燃气检测传感器能将空气中可燃气浓度转化成对应的模拟量电平信号,通过AD转换后送入单片机,与设定的警戒值进行比较,当实际值大于警戒值时,便进行报警。通过四位数码管将实际值显示出来。图2-1系统框图第3章硬件系统设计3.1单片机最小系统3.1.1STC89C52单片机STC89C52RC单片机是宏晶科技推出的新一代高速/低功耗/超强抗干扰的单片机,指令代码完全兼容传统8051单片机,12时钟/机器周期和6时钟/机器周期可以任意选择。其封装图如图3-1所示。图3-1STC89C52封装图1.其主要特性如下:(1)增强型8051单片机,6时钟/机器周期和12时钟/机器周期可以任意选择,指令代码完全兼容传统8051。(2)工作电压:5.5V~3.3V(5V单片机)/3.8V~2.0V(3V单片机)。(3)工作频率范围:0~40MHz,相当于普通8051的0~80MHz,实际工作频率可达48MHz。(4)用户应用程序空间为8K字节。(5)片上集成512字节RAM。(6)通用I/O口(32个),P1/P2/P3/P4是准双向口/弱上拉,P0口是漏极开路输出,作为总线扩展用时,不用加上拉电阻,作为I/O口用时,需加上拉电阻。(7)ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器,无需专用仿真器,可通过串口(RxD/P3.0,TxD/P3.1)直接下载用户程序,数秒即可完成下载。(8)具有EEPROM功能。(9)具有看门狗功能。(10)共3个16位定时器/计数器。即定时器T0、T1、T2。(11)外部中断4路,下降沿中断或低电平触发电路,PowerDown模式可由外部中断低电平触发中断方式唤醒。(12)工作温度范围:-40~+85℃(工业级)/0~75℃(商业级)。(13)PDIP封装。2.STC89C52RC单片机的工作模式:掉电模式:典型功耗<0.1μA,可由外部中断唤醒,中断返回后,继续执行原程序。空闲模式:典型功耗2mA典型功耗。正常工作模式:典型功耗4Ma~7mA典型功耗。掉电模式可由外部中断唤醒,适用于水表、气表等电池供电系统及便携设备。

3.STC89C52RC引脚功能说明VCC(40引脚):电源电压。VSS(20引脚):接地。P0端口(P0.0~P0.7,39~32引脚):P0口是一个漏极开路的8位双向I/O口。作为输出端口,每个引脚能驱动8个TTL负载,对端口P0写入每个引脚能驱动写入“1”时,可以作为高阻抗输入。在访问外部程序和数据存储器时在访问外部程序和数据存储器时,P0口也可以提供低8位地址和8位数据的复用总线位数据的复用总线。此时,P0口内部上拉电阻有效。在FlashROM编程时,P0端口接收指令字节端口接收指令字节;而在校验程序时,则输出指令字节则输出指令字节。验证时,要求外接上拉电阻。P1端口(P1.0~P1.7,1~8引脚):P1口是一个带内部上拉电阻的8位双向I/O口。P1的输出缓冲器可驱动(吸收或者输出电流方式)4个TTL输入。对端口写入1时,通过内部的上拉电阻把端口拉到高电位,这是可用作输入口。P1口作输入口使用时,因为有内部上拉电阻,那些被外部拉低的引脚会输出一个电流。P2端口(P2.0~P2.7,21~28引脚):P2口是一个带内部上拉电阻的8位双向I/O端口。P2的输出缓冲器可以驱动(吸收或输出电流方式)4个TTL输入。对端口写入1时,通过内部的上拉电阻把端口拉到高电平,这时可用作输入口。P2作为输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。P3端口(P3.0~P3.7,10~17引脚):P3是一个带内部上拉电阻的8位双向I/O端口。P3的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写入1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。P3做输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输入一个电流。RST(9引脚):复位输入。当输入连续两个机器周期以上高电平时为有效,用来完成单片机的复位初始化操作。看门狗计时完成后,RST引脚输出96个晶振周期的高电平。特殊寄存器AUXR(地址8EH)上的DISRTO位可以使此功能无效。DISRTO默认状态下,复位高电平有效。ALE/ROG(30引脚):地址锁存控制信号(ALE)是访问外部程序存储器时,锁存低8位地址的输出脉冲。在Flash编程时,此引脚(ROG)也用作编程输入脉冲。PSEN(29引脚):外部程序存储器选通信号,(PSEN)是外部程序存储器选通信号引脚。当STC89C51RC从外部程序存储器执行外部代码时,PSEN在每个机器周期被激活两次,而访问外部数据存储器时,PSEN将不被激活。A/VPP(31引脚):访问外部程序存储器控制信号。为使能从0000H到FFFFH的外部程序存储器读取指令,A必须接GND。注意加密方式1时,A将内部锁定位RESET。为了执行内部程序指令,A应该接VCC。在Flash编程期间,A也接收12伏VPP电压。XTAL1(19引脚):振荡器反相放大器和内部时钟发生电路的输入端。XTAL2(18引脚):振荡器反相放大器的输入端。3.1.2单片机最小系统要使单片机工作起来最基本的电路构成为单片机最小系统,如图3-2所示。图3-2单片机最小系统单片机最小系统包括单片机、复位电路、时钟电路构成。STC89C52单片机的工作电压范围:3.3V-5.5V,所以通常给单片机外界5V直流电源。连接方式为单片机中的40脚VCC接正极5V,而20脚VSS接电源地端。复位电路就是确定单片机的工作起始状态,完成单片机的启动过程。单片机接通电源时产生复位信号,完成单片机启动,确定单片机起始工作状态。当单片机系统在运行中,受到外界环境干扰出现程序跑飞的时候,按下复位按钮,内部的程序自动从头开始执行。一般有上电自动复位和外部按键手动复位,单片机在时钟电路工作以后,在RESET端持续给出2个机器周期的高电平时就可以完成复位操作。本设计采用的是外部手动按键复位电路,需要接上上拉电阻来提高输出高电平的值。时钟电路好比单片机的心脏,它控制着单片机的工作节奏。时钟电路就是振荡电路,是向单片机提供一个正弦波信号作为基准,决定单片机的执行速度。XTAL1和XTAL2分别为反向放大器的输入和输出,该反向放大器可以配置为片内振荡器。如采用外部时钟源驱动器件,XTAL2应不接。因为一个机器周期含有6个状态周期,而每个状态周期为2个振荡周期,所以一个机器周期共有12个振荡周期,如果外接石英晶体振荡器的振荡频率为12MHZ,一个振荡周期为1/12us。3.2GSM短信模块3.2.1SIM900A模块SIM900A是一个双频的GSM/GPRS模块,工作频段为:EGSM900MHZ和DCS1800MHZ。SIM900A支持GPRSmulti-slotclass10/class8(可选)和GPRS编码格式CS-1,CS-2,CS-3和CS-4。SIM900A采用省电技术设计,在SLEEP模式下最低耗流只有1mA。此外,该模块内嵌TCP/IP协议,扩展的TCP/IP命令让用户能够很容易使用TCP/IP协议,这些在用户做数据传输方面的应用时非常有用。SIM900A尺寸较小,几乎可以满足所有用户应用中的对空间尺寸的要求。该模块与用户移动应用的物理接口为68个贴片焊盘,提供了模块和客户电路板的所有硬件接口:键盘和SPI显示接口;主串口和调试串口;一路音频接口,包含一个麦克风输入和一个扬声器输出;可编程通用输入输出接口。SIM900A的功能框图和引脚图如图3-3和图3-4所示。图3-3SIM900A功能框图图3-4SIM900A引脚图其主要引脚功能如下:VBAT:模块提供3个VBAT引脚专用于供电。SIM900A是单电源供电,电压范围VBAT=3.4V到4.5V.电源必要能共提供足够的电流已保证在猝发模式时高达2A的电流。GND:电源地端。PWRKEY:通过拉低PWRKEY来开启和关闭模块。用户可以通过拉低PWRKEY保持至少一秒然后释放来开启和关闭模块。PWRKEY_OUT:通过连接PWRKEY_OUT和PWRKEY一段时间然后释放掉,同样可以开启和关闭模块。MIC_P、MIC_N:音频输入正端和负端。SPK_P、SPK_N:音频输出正端和负端。STATUS:指示工作状态。NETLIGHT:指示网络状态。RXD:数据接收。TXD:数据发送。RTS:发送请求。CTS:发送清除。SIM_VDD:SIM卡电源。SIM_DATA:SIM卡数据信号。SIM_CLK:SIM卡时钟信号。SIM_RST:SIM卡复位信号。SIM_PRESE:SIM卡检测信号。3.2.2SIM900硬件系统设计SIM900A在与3.3V-4V单片机通信时可以直接将串口对应连接,此时,将MCU的TXD连接到SIM900A的SIMR,将MCU的RXD连接到SIM900A的SIMT上,GND连接到GND上。若采用5V单片机对SIM900A模块进行控制时,则需要通过MAX232进行电平转换。正确连接电路后即可对SIM900A模块进行调试了。上电后,D5指示灯亮后,说明SIM900A已经工作。当网络信号指示灯快闪时,说明SIM900A正在搜寻网络,正常情况下在10S内会慢闪(3S灭,1S亮),此时就可以对模块进行操作,实现收发短信,GPRS传输数据功能。SIM900A硬件连接图如图3-5所示。图3-5SIM900A硬件连接图3.3红外传感器模块3.3.1发射部分本设计部分采用的是红外发射管,红外发射管是一种能够发射红外线的二极管,其红外线的发射强度与流过管子的电流强度有关,电流越小,红外线强度就越小,电流越大,红外线强度就越大。红外发射管额定电压范围为1.2V~1.5V,最大整流平均电流IF在20mA左右。为了使设计能够达到理想的效果,流过管子的电流在15mA比较合适。单片机不能提供这么大的驱动电流,所以需要采用三极管放大电路,本设计采用的是比较常用的NPN型三极管S8050,其放大倍数在50~100之间,能够满足设计要求。经过分析计算,分压电阻R2的阻值为220,三极管的栅极通过一个4.7K的限流电阻连接到单片机的P1.0口,单片机通过控制P1.0口电平的高低来控制红外线的发射与否。发射电路如图3-7所示。图3-7红外发射电路3.3.2接收部分红外接收电路如图3-8所示,红外接收部分主要由一个2M的电阻和一个红外接收管组成。在电阻和红外接收管之间引出一个输出端,此端点即为模拟信号输出端。红外接收管能够接收外界的红外线,并且随着外界的红外线强度增强而导通能力变大,当其感应到的红外线强度足够大时,其完全导通,相当于一个阻值很小的可变电阻,此时按照图中的分压原理将会输出低电平,同理,当红外接收管接受不到红外发射管发出的红外线时,其被阻断,此时电路输出高电平。但是,无论是高电平还是低电平,这个输出信号都是模拟量,所以换需要将它转换成数字量,才会被单片机识别,本设计最初采用电压比较器LM393对信号进行模数转换,但是经过长时间努力的调试,仍未能使输出达到理想的效果,最后我采用了一个NPN型三极管S8050对模拟信号进行处理,较好的解决了高低电平差值太小的问题。当接受管接收到红外线时导通,输出端输出低电平0V,此时,三极管S8050截止,单片机P3.2口接收到高电平,当有人遮挡住红外的收发过程,红外接收管接收不到红外线,开路,此时输出端为高电平,三极管S8050导通,P32端为低电平。当红外收发被遮挡住时,单片机会检测到红外接收部分的电平高低变化,由于接到P3.2口为外部中断口,所以,在中断服务程序中进行相应操作。然后进行声光报警,并通过GSM模块向用户发送报警信息。图3-8红外接受电路3.4MQ-5燃气传感器模块3.4.1MQ-5传感器MQ-5气体传感器所使用的气敏材料是在清洁空气中电导率较低的二氧化锡(SnO2)。当传感器所处环境中存在可燃气体时,传感器的电导率随空气中可燃气体浓度的增加而增大。使用简单的电路即可将电导率的变化转换为与该气体浓度相对应的输出信号。MQ-5气体传感器对丁烷、丙烷、甲烷的灵敏度高,对甲烷和丙烷可较好的兼顾。这种传感器可检测多种可燃性气体,特别是天然气,是一款适合多种应用的低成本传感器。其技术指标如表3-2所示。表3-2MQ-5技术指标产品型号MQ-5产品类型半导体气敏元件标准封装胶木(黑胶木)检测气体液化气、甲烷、煤制气、LPG检测浓度300-10000ppm(甲烷,丙烷,丁烷,氢气)标准电路条件回路电压Vc≤24VDC加热电压VH5.0V±0.2VACorDC负载电阻RL可调标准测试条件下气敏元件特性加热电阻RH31Ω±3Ω(室温)加热功耗PH≤900mW敏感体表面电阻Rs2KΩ-20KΩ(in2000ppmC3H8)灵敏度SRs(inair)/Rs(1000ppm异丁烷)≥5浓度斜率α≤0.6(R1000ppm/R500ppmH2)标准测试条件温度、湿度20℃±2℃;标准测试电路Vc:5.0V±0.1V;VH:5.0V±0.1V预热时间不少于48小时MQ-5的基本测试电路如图3-9所示。该传感器需要施加2个电压:加热器电压VH和测试电压VC。其中VH用于为传感器提供特定的工作温度。VC则是用于测定与传感器串联的负载电阻RL上的电压VRL。这种传感器具有轻微的极性,VC需用直流电源。在满足传感器电性能要求的前提下,VC和VH可以共用同一个电源电路。为更好利用传感器的性能,需要选择恰当的RL值。VcVVcVHGNDRLVRL3.4.2TLC1543模数转换芯片TLC1543美国TI司生产的多通道、低价格的模数转换器。采用串行通信接口,具有输入通道多、性价比高、易于和单片机接口的特点,可广泛应用于各种数据采集系统。TLC1543为20脚DIP装的CMOS10位开关电容逐次A/D逼近模数转换器,引脚排列如图3-10所示。其中A0~A10(1~9、11、12脚)为11个模拟输入端,REF+(14脚,通常为VCC)和REF-(13脚,通常为地)为基准电压正负端,CS(15脚)为片选端,在CS端的一个下降沿变化将复位内部计数器并控制和使能ADDRESS、I/OCLOCK(18脚)和DATAOUT(16脚)。ADDRESS(17脚)为串行数据输入端,是一个1的串行地址用来选择下一个即将被转换的模拟输入或测试电压。DATAOUT为A/D换结束3态串行输出端,它与微处理器或外围的串行口通信,可对数据长度和格式灵活编程。I/OCLOCK数据输入/输出提供同步时钟,系统时钟由片内产生。芯片内部有一个14通道多路选择器,可选择11个模拟输入通道或3个内部自测电压中的任意一个进行测试。片内设有采样-保持电路,在转换结束时,EOC(19脚)输出端变高表明转换完成。内部转换器具有高速(10µS转换时间),高精度(10分辨率,最大±1LSB不可调整误差)和低噪声的特点。图3-10TLC1543引脚图TLC1543工作时序如图3-11所示,其工作过程分为两个周期:访问周期和采样周期。工作状态由CS使能或禁止,工作时CS必须置低电平。CS为高电平时,I/OCLOCK、ADDRESS被禁止,同时DATAOUT为高阻状态。当MCU使CS变低时,TLC1543开始数据转换,I/OCLOCK、ADDRESS使能,DATAOUT脱离高阻状态。随后,CPU向ADDRESS提供4位通道地址,控制14个模拟通道选择器从11个外部模拟输入和3个内部自测电压中选通1路送到采样保持电路。同时,I/OCLOCK输入时钟时序,MCU从DATAOUT端接收前一次A/D转换结果。I/OCLOCK从MCU接收10时钟长度的时钟序列。前4个时钟用4位地址从ADDRESS端装载地址寄存器,选择所需的模拟通道,后6个时钟对模拟输入的采样提供控制时序。模拟输入的采样起始于第4个I/OCLOCK下降沿,而采样一直持续6个I/OCLOCK周期,并一直保持到第10个I/OCLOCK下降沿。转换过程中,CS的下降沿使DATAOUT引脚脱离高阻状态并起动一I/OCLOCK工作过程。CS上升沿终止这个过程并在规定的延迟时间内使DATAOU引脚返回到高阻状态,经过两个系统时钟周期后禁止I/OCLOCK和ADDRESS端。图3-11TLC1543时序图3.4.3MQ-5检测模块MQ-5燃气传感器检测到空气中某种可燃气含量的变化后,将输出一个相应的电压模拟量,STC89C52单片机不能识别这个模拟量,所以还需要进行模数转换,TLC1543将转换后的数字量输入单片机的P2.2口(这个量的取值范围是0到1023之间的整数),并通过四位数码管显示出来,单片机采集到这个值,并将其与预设值进行比较,当采集值大于设定值,即是空气中可燃气浓度大于报警值时,单片机通过GSM模块以短信的形式通知用户,并声光报警。MQ-5检测模块电路和显示电路如图3-12和图3-13所示。图3-12MQ-5检测模块电路图3-13数码管显示电路3.6声光报警模块声光报警模块如图3-18所示。当单片机采集到警情后,控制P2.5,P2.6口输出低电平,驱动发光二极管发光和蜂鸣器发出响声。图3-18声光报警电路第4章软件系统设计4.1主程序流程程序首先进行初始化,在初始化中需要对各模块连接单片机端口进行定义,设置定时器,开中断。然后,数码管显示空气中可燃气的浓度对应值,然后单片机扫描检测传感器信号,当单片机检测到可燃气的浓度大于设定值,进行声光报警,向用户拨打电话,并发送报警短消息。主程序流程如图4-1所示。图4-1主程序流程4.2中断服务子程序流程主动式红外传感器检测信号被送到单片机的P3.2口,P3.2口是STC89C52的外部中断0口,当红外收发过程被阻挡,红外接受部分输出低电平,P3.2口电平被拉低,进入中断服务子程序,声光报警,向用户拨打电话,并发送报警信息。中断服务子程序流程如图4-1所示。图4-1中断服务子程序流程4.2TLC1543程序流程TLC1543的子程序流程图如图4-2所示。由于51单片机不具有标准SPI接口,所以需要通过软件模拟SPI协议以便和TLC1543接口。单片机先向TLC1543发送十位脉冲信号,前四个时钟用四位地址从ADDRESS端装载地址寄存器,选择所需的模拟通道,后六个时钟对模拟输入的采样提供控制时序。然后检测信号转换是否完成,未完成继续等待,完成后,单片机再向TLC1543发送十位脉冲信号,作为TLC1543向单片机发送数据的控制时序。图4-2TLC1543模数转换流程4.3发短消息子程序流程发短消息的子程序流程如图4-3所示。单片机向GSM模块发送AT指令,依次设置用户接受到的短消息的格式为文本格式,设置TE字符集,设置短消息文本模式的参数,指定接受短消息的手机号码,最后,设置短消息的内容。图4-3发短息子程序流程REF_Ref168484640\r\h错误!未找到引用源。REF_Ref168484646\h错误!未找到引用源。PAGE35第5章系统调试5.1系统调试5.1.1C语言介绍本设计采用了C语言编程。C语言是一种计算机程序设计语言,它完美的融合了高级语言和汇编语言的优点为程序开发人员带来了很大的方便,推动了计算机编程的效率。C语言作为一种非常方便的语言而得到了广泛的支持,很多硬件开发都用C语言来编程,如各种单片机、DSP、ARM等。C语言程序本身不依赖于机器硬件系统,基本上不做任何修改或仅做简单的修改就可以将程序从不同的系统移植过来直接使用。C语言提供了很多数学函数并支持浮点运算,开发效率高,可极大地缩短开发时间,增加程序可读性和可维护性。单片机C51编程与用汇编ASM-51编程相比,有如下的优点:(1)对单片机的指令系统不要求任何的了解,就可以用C语言直接编程操作单片机。(2)寄存器分配、不同寄存器的寻址及数据类型等细节完全由编译器自动管理。(3)程序有规范的结构,可分成不同的函数,可使程序结构化。(4)库中包含许多标准子程序,具有较强的数据处理能力,使用方便。(5)具有方便的模块化编程技术,使以编好的程序很容易移植。C语言的常用语法不多,尤其是单片机的C语言常用的语法更少,这样子掌握起来会是很方便的。C语言总的来说只是一个工具而已,如何在开发庞大的体统中灵活的应用C语言的正确逻辑编写出结构完善的程序才是难点。基于C语言的以上特点,本设计成功应用C语言完成了蔬菜大棚温湿度控制系统的设计与实现,达到了预期的效果。5.1.2程序调试本设计采用Keil编程软件,这是一款美国KeilSoftware公司的产品。这个软件的开发,为我们利用单片机编程变得高效而且方便。在此简单的介绍一下软件的使用。1.进入keil后,屏幕显示如图5-1所示,紧接着出现编辑界面,如图5-2所示。图5-1进入keil后,屏幕显示图5-2初始界面2.之后,选择工程按钮新建,选择要保存的路径,输入工程文件名,保存。如图5-3所示。新建文档如图5-4所示。加载文档如图5-5所示。图5-3选择芯片图5-4新建test文档图5-5加载文档界面软件编程时的编程界面如图5-6所示。图5-6KeiluVision4软件编程时的编程界面3.最后,编译,生成hex文件,就可以下载到单片机运行了。5.1.3调试结果1.主动式红外检测器未被遮挡时不报警,如图5-7所示。当用纸片遮挡住红外接收部分时,声光报警,并向用户拨打电话,发送报警短息:Warning:IllegalIntrusion。如图5-8和图5-9所示。图5-7红外检测未报警图5-8红外检测器报警图5-9发送报警信息2.当燃气传感器检测到空气中的可燃气体浓度未超过警戒值时,只将浓度对应数据值显示出来,如图5-10所示。可燃气浓度超标时,声光报警,向用户首先打电话报警,过几秒钟之后自动挂断电话,然后发送报警信息:Warning:GasLeak。声光报警,并向用户打电话报警效果如图5-11所示。用户接收到报警信息如图5-12所示。 图5-10燃气浓度未超标时效果图图5-11拨打电话图5-12收到报警信息5.2PCB板制作为了使设计更加完美,系统更稳定的工作,我利用AltiumDesigner09亲自制作了PCB板,将元件焊接上之后,经调试,能够良好的工作。PCB布线图如图5-13,PCB板实物如图5-14所示。图5-13PCB板布线图图5-14PCB实物图结论结论本文针对当前很吸引人们注意的家庭安防报警方面,设计了一套高效、实用的基于GSM模块的家庭报警系统。首先介绍了家庭防盗报警器的国内外现状,通过各方面的比较,说明了传统报警系统所存在的问题。之后提出了本系统的设计方案。本系统中,采用模块化、层次化设计。采用STC89C52单片机为主控芯片,通过当下发展最完善的GSM网络,实现向用户发送报警信息,具有高效,安全的优点。使用了主动式红外检测模块,燃气传感器模块,并应用了发光二极管和蜂鸣器的报警。最终完成了硬质电路板的调试与显示。所得的效果与预期的效果吻合。由于本设计是基于简单、高效、实用的思想原则进行的,所采用的芯片和模块性价比很高,有很高的实用价值。由于时间有限,本设计还不够完善,有待进一步改进。例如原本打算在本设计的基础上增加电子时钟功能,以便使用的时候可以显示时间。但由于STC89C52单片机的ROM只有8K,现有的模块功能实现程序已经占用7K多,不足以实现这一功能。致谢洛阳理工学院毕业设计16PAGE37谢辞本人在课题研究和做论文的过程中,遇到过一些难以理解的问题,有些问题苦死不得其解,通过在网上查找资料,以及向黄会营老师请教,使得大部分的问题都得到了解决,还有我的朋友们,他们对我的设计和论文进度十分关心,给了我很多的鼓励和帮助,为提高书稿的质量提出了许多宝贵的建议和修改意见。在此,对他们一并表示衷心的感谢。附录PAGE16参考文献郭天祥.51单片机C语言教程.北京:电子工业出版社,2010谭浩强.C语言设计.北京:清华大学出版社,1991张毅刚.新编MCS-51单片机应用设计.哈尔滨:哈尔滨工业大学出版社,2003求是科技.8051系列单片机C程序开发设计.北京:人民邮电出版社,2006宏晶科技.STCMicrocontrollerHandbook,2007ClarkDW.Thememorysystemofahighperformancepersonalcomputer.XeroxPaloAltoResearchCenter,MC88100RSICMicroprocessorUser’sManual(Secondedition).EnglewoodCliffs:PrenticeHall,1990李朝青.单片机原理及接口技术.北京:北京航空航天大学出版社,1999张俊谟.单片机中级教程.北京:北京航空航天大学出版社,1999李群芳,等.单片微型计算机与接口技术.北京:电子工业出版社,2001徐惠民等编著.单片微型计算机原理、接口及应用.北京:北京邮电出版社,2000陈杰、黄鸿.传感器与检测技术.北京:高等教育出版社,2002赵伟军主编.Protel99SE原理图与PCB仿真.北京:机械工业出版社,2005龙泽明,顾立志,王桂莲,陈光军.MCS-51单片机原理及工程应用[M].北京:国防工业出版社,2005.王为青,程国钢.单片机KeilCx51应用开发技术[M].北京:人民邮电出版社,2007.朱滨峰,徐桂云,李俊敏.单片机在温湿度测量系统中的应用[J].仪器仪表标准化与计量,2006(5):44-46.附录源程序#include<reg52.h>#include<intrins.h> #defineucharunsignedchar#defineuintunsignedintuintnum;ucharport;sbitHong_sen=P1^0;sbitAD_eoc=P2^0;sbitAD_clk=P2^1;sbitAD_add=P2^2;sbitAD_dat=P2^3;sbitAD_cs=P2^4;sbitbuzzer=P2^5; sbitled_alarm=P2^6; sbitGSM_led=P2^7; sbitDUAN=P1^6; sbitWEI=P1^7; ucharcode led7[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};ucharsms_text1[]="Warning:GasLeakage!";ucharsms_text2[]="Warning:IllegalIntrusion!";ucharnum1[]="AT\r";voidSerialInti() { TMOD=0x20; TH1=0xf3; TL1=0xf3; TR1=1; SM0=0; SM1=1; REN=1; IP=0x10; EA=1; ES=1; EX0=1;IT0=0;}voidSerial_interrupt()interrupt4{ RI=0; }voidUart1Send(ucharc){ SBUF=c; while(!TI); TI=0; }voidUart1Sends(uchar*str) { while(*str!='\0') { SBUF=*str; while(!TI); TI=0; str++; }}voidDelay_S(uintsec) { uinti,j=0; for(i=0;i<sec;i++) { for(j=0;j<65535;j++); }}voidSMS_Send(){ Uart1Sends("AT+CMGF=1\r"); Delay_S(3); Uart1Sends("AT+CSCS=\"GSM\"\r"); Delay_S(3); Uart1Sends("AT+CSMP=17,167,0,250\r"); // Uart1Sends("AT+CSMP=17,167,0,240\r"); Delay_S(3); Uart1Sends("AT+CMGS=\"\r"); Delay_S(5); Uart1Sends(sms_text1); Uart1Send(0x1a); GSM_led=0; Delay_S(5); }voiddelay(uintz) { uintk; for(z;z>0;z--) for(k=110;k>0;k--);}voiddisplay(){ ucharge,shi,bai,qian; qian=num/1000; bai=num/100%10; shi=num%100/10; ge=num%100%10; P0=0; P0=led7[qian]; DUAN=1; DUAN=0; P0=0Xf7; WEI=1; WEI=0; delay(5); P0=0x00; P0=led7[bai]; DUAN=1; DUAN=0; P0=0Xfb; WEI=1; WEI=0; delay(5); P0=0x00; P0=led7[shi]; DUAN=1; DUAN=0; P0=0Xfd; WEI=1; WEI=0; delay(5); P0=0x00; P0=led7[ge]; DUAN=1; DUAN=0; P0=0Xfe; WEI=1; WEI=0; delay(5); P0=0x00;}uintADC(ucharchn1){ uchari; ucharaddr8,m; uintADresult; AD_eoc=1; AD_cs=0; _nop_(); addr8=chn1; addr8<<=4; for(i=0;i<4;i++) { AD_add=(bit)(addr8&0x80); AD_clk=1; AD_clk=0; addr8<<=1; }for(i=0;i<6;i++) { AD_clk=1; AD_clk=0; } AD_cs=1; while(!AD_eoc); _nop_(); ADresult=0; AD_cs=0; for(i=0;i<10;i++) { AD_clk=1; ADresult<<=1; m=AD_dat; ADresult+=m; AD_clk=0; } AD_cs=1; return(ADresult);}voidmain(){ GSM_led=1; Hong_sen=1; SerialInti(); while(1) { port=0x00; num=ADC(port); display(); if(num>200) { buzzer=0; led_alarm=0; Uart1Sends(num1); GSM_led=0; Delay_S(10); Uart1Sends("ATH\r"); GSM_led=1; Delay_S(3); SMS_Send(); } }}voidISR_Key(void)interrupt0using1{ buzzer=0; led_alarm=0; Uart1Sends(num1); GSM_led=0; Delay_S(10); Uart1Sends("ATH\r"); GSM_led=1; Delay_S(3); Uart1Sends("AT+CMGF=1\r"); Delay_S(3); Uart1Sends("AT+CSCS=\"GSM\"\r"); Delay_S(3); Uart1Sends("AT+CSMP=17,167,0,250\r"); Uart1Sends("AT+CSMP=17,167,0,240\r"); Delay_S(3); Uart1Sends("AT+CMGS=\"\r"); Delay_S(5); Uart1Sends(sms_text2); Uart1Send(0x1a); GSM_led=0; Delay_S(5); } 原理图外文资料翻译MovingObjectCountingwithanInfraredSensorNetworkByKI,ChiKeungAbstractWirelessSensorNetwork(WSN)hasbecomeahotresearchtopicrecently.GreatbenefitcanbegainedthroughthedeploymentoftheWSNoverawiderangeofapplications,coveringthedomainsofcommercial,militaryaswellasresidential.Inthisproject,wedesignacountingsystemwhichtrackspeoplewhopassthroughadetectingzoneaswellasthecorrespondingmovingdirections.Suchasystemcanbedeployedintrafficcontrol,resourcemanagement,andhumanflowcontrol.Ourdesignisbasedonourself-madecost-effectiveInfraredSensingModuleboardwhichco-operateswithaWSN.ThedesignofoursystemincludesInfraredSensingModuledesign,sensorclustering,nodecommunication,systemarchitectureanddeployment.WeconductaseriesofexperimentstoevaluatethesystemperformancewhichdemonstratestheefficiencyofourMovingObjectCountingsystem.Keywords:Infraredradiation,WirelessSensorNode1.1IntroductiontoInfraredInfraredradiationisapartoftheelectromagneticradiationwithawavelengthlyingbetweenvisiblelightandradiowaves.Infraredhavebewidelyusednowadaysincludingdatacommunications,nightvision,objecttrackingandsoon.Peoplecommonlyuseinfraredindatacommunication,sinceitiseasilygeneratedandonlysufferslittlefromelectromagneticinterference.TaketheTVremotecontrolasanexample,whichcanbefoundineveryone'shome.Theinfraredremotecontrolsystemsuseinfraredlight-emittingdiodes(LEDs)tosendoutanIR(infrared)signalwhenthebuttonispushed.Adifferentpatternofpulsesindicatesthecorrespondingbuttonbeingpushed.ToallowthecontrolofmultipleappliancessuchasaTV,VCR,andcablebox,withoutinterference,systemsgenerallyhaveapreambleandanaddresstosynchronizethereceiverandidentifythesourceandlocationoftheinfraredsignal.Toencodethedata,systemsgenerallyvarythewidthofthepulses(pulse-widthmodulation)orthewidthofthespacesbetweenthepulses(pulsespacemodulation).Anotherpopularsystem,bi-phaseencoding,usessignaltransitionstoconveyinformation.EachpulseisactuallyaburstofIRatthecarrierfrequency.A'high'meansaburstofIRenergyatthecarrierfrequencyanda'low'representsanabsenceofIRenergy.Thereisnoencodingstandard.However,whileagreatmanyhomeentertainmentdevicesusetheirownproprietaryencodingschemes,somequasi-standardsdoexist.TheseincludeRC-5,RC-6,andREC-80.Inaddition,manymanufacturers,suchasNEC,havealsoestablishedtheirownstandards.WirelessSensorNetwork(WSN)hasbecomeahotresearchtopicrecently.GreatbenefitcanbegainedthroughthedeploymentoftheWSNoverawiderangeofapplications,coveringthedomainsofcommercial,militaryaswellasresidential.Inthisproject,wedesignacountingsystemwhichtrackspeoplewhopassthroughadetectingzoneaswellasthecorrespondingmovingdirections.Suchasystemcanbedeployedintrafficcontrol,resourcemanagement,andhumanflowcontrol.Ourdesignisbasedonourself-madecost-effectiveInfraredSensingModuleboardwhichco-operateswithaWSN.ThedesignofoursystemincludesInfraredSensingModuledesign,sensorclustering,nodecommunication,systemarchitectureanddeployment.WeconductaseriesofexperimentstoevaluatethesystemperformancewhichdemonstratestheefficiencyofourMovingObjectCountingsystem.1.2WirelesssensornetworkWirelesssensornetwork(WSN)isawirelessnetworkwhichconsistsofavastnumberofautonomoussensornodesusingsensorstomonitorphysicalorenvironmentalconditions,suchastemperature,acoustics,vibration,pressure,motionorpollutants,atdifferentlocations.Eachnodeinasensornetworkistypicallyequippedwithawirelesscommunicationsdevice,asmallmicrocontroller,oneormoresensors,andanenergysource,usuallyabattery.Thesizeofasinglesensornodecanbeaslargeasashoeboxandcanbeassmallasthesizeofagrainofdust,dependingondifferentapplications.Thecostofsensornodesissimilarlyvariable,rangingfromhundredsofdollarstoafewcents,dependingonthesizeofthesensornetworkandthecomplexityrequirementoftheindividualsensornodes.Thesizeandcostareconstrainedbysensornodes,therefore,haveresultincorrespondinglimitationsonavailableinputssuchasenergy,memory,computationalspeedandbandwidth.Thedevelopmentofwirelesssensornetworks(WSN)wasoriginallymotivatedbymilitaryapplicationssuchasbattlefieldsurveillance.Duetotheadvancementinmicro-electronicmechanicalsystemtechnology(MEMS),embeddedmicroprocessors,andwirelessnetworking,theWSNcanbebenefitedinmanycivilianapplicationareas,includinghabitatmonitoring,he

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论