基于DDS的信号发生器_第1页
基于DDS的信号发生器_第2页
基于DDS的信号发生器_第3页
基于DDS的信号发生器_第4页
基于DDS的信号发生器_第5页
已阅读5页,还剩24页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2014年12月21日题目:基于DDS的信号发生器 学号: 指导教师: 摘要成(DirectDigitalSynthesize,DDS)是一种重要的频率合成技术,具有分辨率高,stractWiththedevelopmentofinformationtechnology,modernelectronicsystem technologywithhighresolution,frequencyconversionfast,etc.Usingthethroughsinglechipmicrocomputercontrolthenokia5110LCDscreen,letnokia10LCDdisplaysthesizeoftheinputfrequency.IscontrolledbysinglechipAD51,thenthroughAD9851internalphaseaccumulator,phaseregisters,sinefilteroutputsinewave,externalahigh-speedcomparator,canoutputpulse,byadjustingasliderheostat,changethedutycyclesquarewave,wiredsmoduleNRF4L01fordatatransmission,throughthedatacommunication,remotecontrol.Keyword:DDS;Entirenumericalcontrol;Monolithicintegratedcircuit;wirelessmodule; 1 频率合成器难以比拟的优数控、输出频率宽、波形失应用,它是电子系统多现代电子设备和系统的功能都直接依赖移动通信、扩频通信等方面得到了广泛使S、三角波、锯齿波,还可以系统总体方案的分析和设计M相可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每息映射ISET=32(1.148V/RSET),RSET的典型值是3.9kΩ。将DAC的输出经低通滤波后接到写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正弦波的时延,其中数字信号处理端直接加上调制信号即可,调频可通过频率控制字进行,在进行输出频率宽等要求,选用了AD形。键盘和数字合成模块实现,键盘与显示。器较器4x4矩阵键盘出工作的稳定性、起振的难易程序分为按键电平复位和按键脉冲复位。按键电平复位电路是在普通位脚(和上拉电容并联),上拉电容支路负责在“上电”瞬间实施复位;开关通过10K电流。在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVXDPTR)时,P2口送出高八位地址。在ID0~D7:8位数据输入口,可给部寄存器装入40位控制数据。DCMOSTTL间接地加到6倍参考时钟倍乘器上。在直接方式中,输入AVDD:模拟电源(+5V)。DVDD:数字电源(+5V)。AD9851的串行操作有两种数据传送方式,即从最高位开始传送和从最低位开始传的参考时钟频率一般远高于单片机的时钟频率,因此AD9851的复位(RESET)端可与单片D作模式(掉电模式和空闲模式)使节能设计更方便。4信号发生器的软件设计上,根据系统功能要频率值,判始单片机初单片机初始化初始化初始化键盘扫描无线模块显示及数据输出路的总体电路图及实物图6电路的调试及测试结果ZMHZ低的情况下,波形的频率比较稳定,其测,其结果达到了基本的频率的正弦波的输以上测试的是四种单频信号,他们的频率分别为14KHZ、140KHZ、1.4MHZ、14MHZ矩矩阵键盘扫描断循环当按下键值10液晶显示键值组合正弦波、方波输出7总结构上,还的同学交流,了解到了直接数字合成(DirectDigitalSynthesize,DDS)是一种重要方序,对照时序图,可以很快让我深刻认辞过程中少犯了不少错,节约了宝贵严格要求,耐心指导,反复为我们[4]育才.MCS-51系列单片机及应用(第4版).东南大学,2004浩强.C语言程序设计(第3版).清华大学,2005dereghstringhntrinshludeNRFLHudeLCDhludeADhineucharunsignedchar#defineuintunsignedint#defineulintunsignedlongint#definelintlongintuchartable","1","2","3","4","5","6","7","8","9"};rkeytempvoiddelay(ucharz){uchari,j;forizi;i--)forjjj;}iddisplaylintaa{ucharAAAA,A5,A6,A7,A8;Aaa/10000000;Aaa%10000000/1000000;Aaa%1000000/100000;Aaa%100000/10000;Aaa%10000/1000;Aaa%1000/100;Aaa%100/10;Aaa%10;LCDwriteenglishstringtableA1]);LCDwriteenglishstringtable[A2]);LCDwriteenglishstringtable[A3]);LCDwriteenglishstringtable[A4]);LCDwriteenglishstringtable[A5]);LCDwriteenglishstringtable[A6]);LCDwriteenglishstringtable[A7]);LCDwriteenglishstringtable[A8]);}---------------------*/nshi{CDsetxyWritehanzi0,0,1);//电LCDsetxyWritehanzi2,14,1);//流LCDsetxyWritehanzi,26,1);//:LCDwriteenglishstring00000000HZ");}gling{{}}*************************************************************************/void{unsignedch

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论