0405数字电路与逻辑设计a卷_第1页
0405数字电路与逻辑设计a卷_第2页
0405数字电路与逻辑设计a卷_第3页
0405数字电路与逻辑设计a卷_第4页
0405数字电路与逻辑设计a卷_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

经典word整理文档,仅参考,双击此处可删除页眉页脚。本资料属于网络整理,如有侵权,请联系删除,谢谢!试卷编号命题人:王玉青审核人:试卷分类(A卷或B卷)第1学期五邑大学试卷20132014至学年度学期:0700280课程:数字电路与逻辑设计课程代号:姓名:使用班级:信息工程学院2011级学号:一二三四五六七八九181A和BY256k的5.74LS160。6.JK。(A>B)7.当8.模数转换器(10.1ABCABABCABCBC0AAAAAABABA(AB)(AC)4QQ5.Dn1n=。nQA.0B.1C.6.4k×8的RAM256的。YY7.3-8AAA=。210708.B.D./3CCCCCCCCB.C.D.81()1.ABC()2.EP()3.()4.8()5.ABCD()7.将()8.T9Fd已知同步时序电路如图1图1X为1X61024120482110241(2)还需要哪种集成芯片?(3)试画出扩展电路,1024186图2a2b)v4V。I11和223A为主评判员,B、C1.2.3.4选1任课老师:试卷分类(A卷或B卷)A五邑大学试卷参考答案及评分标准学期:2013至2014学年度第1学期课程:数字电路与逻辑设计使用班级:信息工程学院2011级课程代号:0700280183AB1A和BY。(A>B)256k的5.2片74LS160。QJQKQn。6.JKn1n7.当8.模数转换器(10.1ABC的最小项?C。ABABCABCBC下列公式中哪个是错误的?。0AAAAAABABA(AB)(AC)B。4BQQnD=D。5.Dn1nQA.0B.1C.6.4k×8的RAMD256的。YY7.3-8AAA=B。210708.B。B.C.D.。/3CCCCCCCCB。B.C.D.81()1.()2.()3.()4.8(√)5.(√()7.将(√)8.T9FdFABD1512分,结果2已知同步时序电路如图1图1解:电路的驱动方程、状态方程和输出方程分别为:(7331160.54Y共2为0001111解1状态转换图177进制计数器,Y输出是进位脉冲。(4X为1XDCBA2X0和X1的状态转换表如下:(62实现的电路图如下:(561024120482(11024×1(2)3)试画出扩展电路,10241芯片的逻辑符号如下图所示。(8142)还需要非门;(36图abv4V。I11和2211构成施密特触发器(2分),2构成单稳态触发器;(2t1.1RC1.1510100.0110(2236W3ABC4选13ABCA的意见:AA认为不合格。(1B和C的意见:B和B和认为不合格。(1认为通过,143A000011

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论